Part Number Hot Search : 
AB1432 3362W105 HT27C512 BUL64 SMCJ5 13002 DL6LC KDZ12
Product Description
Full Text Search
 

To Download AMD-K5 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  this document contains information on a product under development at amd. the information is intended to help you evaluate this product. amd reserves the right to change or discontinue work on this proposed product without notice. AMD-K5 processor data sheet publication # 18522 rev: f amendment/ 0 issue date: january 1997 tm
? 1997 advanced micro devices, inc . all rights reserved. advanced micro devices, inc. ("amd") reserves the right to make changes in its products without notice in order to improve design or performance charac- teristics. the information in this publication is believed to be accurate at the time of publication, but amd makes no representations or warranties with respect to the accuracy or completeness of the contents of this publication or the information contained herein, and reserves the right to make changes at any time, without notice. amd disclaims responsibility for any consequences resulting from the use of the information included in this publication. this publication neither states nor implies any representations or warranties of any kind, including but not limited to, any implied warranty of merchantability or fitness for a particular purpose. amd products are not authorized for use as critical components in life support devices or systems without amds written approval. amd assumes no liability whatsoever for claims associated with the sale or use (including the use of engineering samples) of amd products except as provided in amds terms and conditions of sale for such product. trademarks: amd, the amd logo, and combinations thereof are trademarks of advanced micro devices, inc. am486 is a registered trademark, and AMD-K5 is a trademark of advanced micro devices, inc. microsoft and windows are registered trademarks of microsoft corporation. other product names used in this publication are for identification purposes only and may be trademarks of their respective companies.
iii 18522f/0jan1997 AMD-K5 processor data sheet preliminary information contents 1 AMD-K5? processor features . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1.1 redefining the next generation . . . . . . . . . . . . . . . . . . . . . . . . . 1 1.2 high-performance design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1.3 compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 2 block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 3 ordering information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 4 architectural introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 4.1 superscalar risc core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 4.2 out-of-order execution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 4.3 register renaming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 4.4 64-bit data bus interface unit . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 4.5 innovative x86 instruction predecoding . . . . . . . . . . . . . . . . . . . 7 4.6 cache architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 4.7 branch prediction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 4.8 unique x86 instruction conversion and decoding . . . . . . . . . . 9 4.9 reorder buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 4.10 register file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 4.11 the right combination compatibility and performance . . 11 5 cpu identification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 6 logic symbol diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 7 signal descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 a31Ca5/a4Ca3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 a20m . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 ads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 adsc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 ahold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 ap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 apchk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 be7 Cbe0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 bf (model 0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 bf1Cbf0 (model 1 and model 2) . . . . . . . . . . . . . . . . . . . . . . . . 16 boff . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 brdy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 brdyc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 breq . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 buschk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 cache . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 clk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 d/c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 d63Cd0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
iv AMD-K5 processor data sheet 18522f/0jan1997 preliminary information dp7Cdp0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 eads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 ewbe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 ferr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 flush . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 frcmc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 hit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 hitm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 hlda . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 hold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 ierr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 ignne . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 init . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 intr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 inv . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 ken . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 lock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 m/io . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 na . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 nmi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 pcd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 pchk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 pen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 prdy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 pwt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 r/s . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 scyc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 smi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 smiact . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 stpclk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 tck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 tdi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 tdo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 tms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 trst . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 w/r . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 wb/wt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 8 processor operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 8.1 power-on configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 8.2 clock state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 normal execution state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 halt/auto-power- down state . . . . . . . . . . . . . . . . . . . . . . . . . . 30 stop grant state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 stop clock snoop state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31 stop clock state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31 8.3 cache protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
v 18522f/0jan1997 AMD-K5 processor data sheet preliminary information internal cache . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31 cacheability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 copy-back buffers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 8.4 data cache coherency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 cache invalidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 read cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 write cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 write allocate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 external inquire cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 instruction cache coherency . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 self-modifying code and the cache . . . . . . . . . . . . . . . . . . . . . 36 8.5 external bus description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 memory organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37 8.6 bus cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 single transfer cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 burst read cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 burst write cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 boff or ahold/hold/hlda during burst transfers . . . . . 40 use of boff . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 locked operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 lock during hold and boff . . . . . . . . . . . . . . . . . . . . . . . . . 42 lock operations during inquire cycles . . . . . . . . . . . . . . . . . 42 locked operation to cached lines . . . . . . . . . . . . . . . . . . . . . . 43 bus hold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 bus error support using pchk and apchk . . . . . . . . . . . . . . 44 special bus cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 flush operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 interrupt acknowledge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 inquire cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 pipelining . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47 pipelining timing diagrams . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 8.7 system management mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 processing system management interrupts . . . . . . . . . . . . . . . 51 system management interrupt . . . . . . . . . . . . . . . . . . . . . . . . . 51 initial state upon entering smm . . . . . . . . . . . . . . . . . . . . . . . 53 i/o instruction restart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 halt auto restart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 8.8 am486 ? and AMD-K5 processor bus differences . . . . . . . . . . 54 8.9 p54c and AMD-K5 processor bus differences . . . . . . . . . . . . . 55 9 electrical data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 9.1 power and grounding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 power connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 connection recommendations . . . . . . . . . . . . . . . . . . . . . . . . . 56 9.2 absolute maximum ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 9.3 operating ranges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 commercial (c) devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
vi AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 10 switching characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59 10.1 66-mhz bus operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59 10.2 60-mhz bus operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 10.3 50-mhz bus operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 10.4 reset, tck, trst , and test signal timing . . . . . . . . . . . . . . 66 11 timing diagrams . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 12 package thermal specifications . . . . . . . . . . . . . . . . . . . . . . . . 82 13 physical dimensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 14 pin description diagram (model 0) . . . . . . . . . . . . . . . . . . . . . . 85 15 pin designations (model 0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86 16 pin description diagram (models 1 and 2) . . . . . . . . . . . . . . . . 87 17 pin designations (models 1 and 2) . . . . . . . . . . . . . . . . . . . . . . . 88
vii 18522f/0jan1997 AMD-K5 processor data sheet preliminary information list of figures figure 1. block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 figure 2. logic symbol diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 figure 3. state transition diagram for stop clock state machine. . . . . 29 figure 4. bus state transitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37 figure 5. single writes (zero wait states) . . . . . . . . . . . . . . . . . . . . . . . . 39 figure 6. burst write (one wait state) . . . . . . . . . . . . . . . . . . . . . . . . . . . 40 figure 7. boff timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 figure 8. locked cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 figure 9. hold/hlda cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 figure 10. interrupt acknowledge cycles . . . . . . . . . . . . . . . . . . . . . . . . . . 45 figure 11. inquire cycle (hit to a non-modified line) . . . . . . . . . . . . . . . 46 figure 12. inquire cycle (hit to a modified line) . . . . . . . . . . . . . . . . . . . 46 figure 13. pipelined cacheable data cache cycle into a cacheable instruction cache cycle. . . . . . . . . . . . . . . . . . . . . 49 figure 14. pipelined write cycle (could be i/o) into a write cycle (could be i/o) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 figure 15. diagrams key . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 figure 16. clk waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 figure 17. output valid delay timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 figure 18. input setup and hold timing . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 figure 19. maximum float delay timing . . . . . . . . . . . . . . . . . . . . . . . . . . 69 figure 20. reset and configuration timing . . . . . . . . . . . . . . . . . . . . . . . . 70 figure 21. tck waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71 figure 22. trst timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71 figure 23. test signal timing diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71 figure 24. stpclk timing (stop grant state) . . . . . . . . . . . . . . . . . . . . . . 72 figure 25. transition l1 shared line to exclusive. . . . . . . . . . . . . . . . . . . 72 figure 26. invalidation to non-modified l1 cache line . . . . . . . . . . . . . . 73 figure 27. invalidation to modified line in l1 cache (writeback cycle) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 figure 28. single read due to cache inactive (no wait state) . . . . . . . 74 figure 29. single read due to ken not asserted (one wait state). . . . . 74 figure 30. single write due to ken inactive (no wait state) . . . . . . . . . 75 figure 31. single write due to cache inactive (one wait state) . . . . . . 75 figure 32. burst read (no wait state). . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 figure 33. burst read (one wait state). . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 figure 34. burst write (one wait state) . . . . . . . . . . . . . . . . . . . . . . . . . . . 77 figure 35. boff timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77 figure 36. locked cycle. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 figure 37. hold/hlda timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 figure 38. ahold restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79 figure 39. special cycle. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79 figure 40. interrupt acknowledge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
viii AMD-K5 processor data sheet 18522f/0jan1997 preliminary information figure 41. smi /smiact timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 figure 42. split cycle (misaligned locked cycle). . . . . . . . . . . . . . . . . . . . 81 figure 43. 296-pin ceramic staggered pin grid array (spga). . . . . . . . . 84 figure 44. AMD-K5 model 0 processor pin-side view . . . . . . . . . . . . . . . . 85 figure 45. AMD-K5 models 1 and 2 processor pin-side view . . . . . . . . . . 87
ix 18522f/0jan1997 AMD-K5 processor data sheet preliminary information list of tables table 1. input pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 table 2. output pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 table 3. input/output pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 table 4. test pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 table 5. bus cycle definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 table 6. special cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 table 7. signals at reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 table 8. processor reads to data cache . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 table 9. writes to data cache . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 table 10. inquire cycles to data cache. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 table 11. addressing of the AMD-K5 processor burst order . . . . . . . . . . . 38 table 12. smm save area map. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52 table 13. initial state upon entering smm . . . . . . . . . . . . . . . . . . . . . . . . . 53 table 14. dc characteristics over commercial operating ranges . . . . . . 58 table 15. clk switching characteristics for 66-mhz bus operation . . . . 59 table 16. delay timing for 66-mhz bus operation . . . . . . . . . . . . . . . . . . . 60 table 17. switching characteristics for 66-mhz bus operation . . . . . . . . . 61 table 18. clk switching characteristics for 60-mhz bus operation . . . . 62 table 19. delay timing for 60-mhz bus operation . . . . . . . . . . . . . . . . . . . 62 table 20. switching characteristics for 60-mhz bus operation . . . . . . . . . 63 table 21. clk switching characteristics for 50-mhz bus operation . . . . 64 table 22. delay timing for 50-mhz bus operation . . . . . . . . . . . . . . . . . . . 64 table 23. switching characteristics for 50-mhz bus operation . . . . . . . . . 65 table 24. reset configuration signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 table 25. tck waveform and trst timing at 16 mhz . . . . . . . . . . . . . . . 66 table 26. test signal timing at 16 mhz . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 table 27. q ca for the AMD-K5 processor in 296-pin spga package for typical heat sinks with fans . . . . . . . . . . . . . . . . . . 82 table 28. model 0 maximum t a in c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 table 29. models 1 and 2 maximum t a in c . . . . . . . . . . . . . . . . . . . . . . . . 83
x AMD-K5 processor data sheet 18522f/0jan1997 preliminary information
1 18522f/0jan1997 AMD-K5 processor data sheet preliminary information 1 AMD-K5? processor features n four-issue superscalar core with six parallel execution units arranged in a five-stage pipeline n 16-kbyte, dual-tagged, four-way, set-associative instruction cache n 8-kbyte, dual-tagged, dual-ported with four banks, four-way set-associative, writeback data cache n full, out-of-order speculative execution and completion n dynamic cache line-oriented branch prediction with 1-kbyte branch predictions and low 3-cycle branch mispredict penalty n integrated, high-performance floating-point unit (fpu) with low-latency add/multiply and single-cycle issue n static clock control with phase lock loop (pll) circuitry n 3.3-v operation and system management mode (smm) for lower power consumption n 64-bit pentium-compatible bus and system interface in a 296- pin spga package n compatible with existing pentium (p54c) support infrastruc- ture and system designs n fully compatible with the microsoft ? windows ? operating sys- tems and the large installed library of x86 software 1.1 redefining the next generation amd continues to bring superior, high-performance processor solutions to the personal computer market. the AMD-K5 pro- cessor offers superior price/performance value over other 5th- generation processorsmaking it an ideal solution for main- stream desktop computers. compatible with the entire in- stalled library of x86 software, the AMD-K5 processor is a superior engine for the microsoft windows operating systems. the AMD-K5 processor uses an independently developed superscalar risc-based design manufactured in amds 0.35- micron complementary metal-oxide semiconductor (cmos) process. the design stems from a rich history of experience in risc and x86 technology, providing a solid foundation for the development of our proprietary 4.3-million-transistor AMD-K5 processor.
2 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 1.2 high-performance design the superscalar risc design techniques provide next- generation performance levels and the power to run complex 32-bit operating systems and applications. the AMD-K5 pro- cessor features a four-issue superscalar core that incorporates dynamic branch prediction and out-of-order speculative execu- tion. while other 5th-generation processors feature a two-issue core, the AMD-K5 processors risc core is four-issue. 1.3 compatibility the AMD-K5 processors compatibility is established using a rigorous testing procedure that begins with software simula- tion before the design is first committed to silicon. throughout the design and manufacturing process, industry-standard tools and systems are used for compatibility testing. extended compatibility and qualification testing are provided by industry-leading personal computer and chip set manufac- turers. testing culminates with certification from xxcal, inc., an independent third-party testing lab. this combination of differentiating features is responsible for the AMD-K5 pro- cessors overall design and performance advantages. compatibility with the microsoft windows operating system and the immense library of x86 software furthers these advan- tages, and is the foundation of the AMD-K5 processors lead- ing-edge solution.
3 18522f/0jan1997 AMD-K5 processor data sheet preliminary information revision history date revision description jan. 1997 f the pr166 opn added to ordering information in section 3 on page 5. the valid combinations are updated in the ordering information in section 3 on page 5. model 2 added to the cpu identification in section 5 on page 12. p-rating information added to the cpu identification in section 5 on page 12. manufacturer in jtag id code changed to bits 11C1 in cpu identification in section 5 on page 12. 1.75 multiplier added to the bf1Cbf0 pin description in signal descriptions in section 7 on page 14. new data cache write allocate information added beginning on page 34 pipelining information added beginning on page 47. v cc changes in operating ranges on page 57. i cc updated in table 14 on page 58. the package thermal specifications on page 82 are updated for new models and i cc specs. all references to model 1 are changed to models 1 and 2.
4 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 2 block diagram fetch decode load store execute 8 ports 64 result retire fastpath hardware rops m code microcode rops r.s. reservation station port 41 bits address data 32 8 ports 4 ports 5 ports 2 ports load store prefetch & predecode branch prediction instruction cache linear tags byte queue fast path m code fast path m code fast path m code fast path m code r.s. r.s. alu r.s. alu r.s. branch r.s. fpu load store load store reorder buffer (rob) register file (x86 gprs, fprs) memory management unit (tlbs and physical tags) bus interface unit data cache linear tags store buffer 4 ports
5 18522f/0jan1997 AMD-K5 processor data sheet preliminary information 3 ordering information standard products amd standard products are available in several packages and operating ranges. the order number (valid combination) is formed by a combination of the elements below. q b a AMD-K5 package type family/core a = 296-pin spga AMD-K5 case temperature q= 60c r=70c x=65c C pr133 p-rating (pr) 75 120 90 133 100 166 operating voltage b= 3.45 vC3.60 v (3.525 v nominal) f = 3.135 vC3.465 v (3.3 v nominal) valid combinations opn package type operating voltage case temperature AMD-K5-pr166abx 296-pin spga 3.45 vC3.60 v 65 c AMD-K5-pr133abr 296-pin spga 3.45 vC3.60 v 70 c AMD-K5-pr133abq 296-pin spga 3.45 vC3.60 v 60 c AMD-K5-pr120abr 296-pin spga 3.45 vC3.60 v 70 c AMD-K5-pr100abq 296-pin spga 3.45 vC3.60 v 60c AMD-K5-pr90abq 296-pin spga 3.45 vC3.60 v 60c AMD-K5-pr75abr 296-pin spga 3.45 vC3.60 v 70c notes: 1. valid combinations lists configurations planned to be supported in volume for this device. consult the local amd sales office to confirm availability of specific valid combinations and to check on newly released combinations.
6 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 4 architectural introduction the x86 architecture is the dominant standard for the personal computer marketplace. however, maintaining backwards com- patibility with previous generations of x86 processors carries several inherent limitations associated with the x86 architec- ture: variable-length instruction set, fewer general-purpose registers, and complex addressing modes. the AMD-K5 proces- sor overcomes these burdens by providing superscalar archi- tecture that incorporates innovative technology: instruction predecoding, improved cache architecture, branch prediction with speculative execution, a superscalar risc core, out-of- order execution, and register renaming. 4.1 superscalar risc core the AMD-K5 processors superscalar risc core consists of six execution units: two arithmetic logic units (alu), two load/ store units, one branch unit, and one floating-point unit (fpu). this superscalar core is fully decoupled from the x86 bus through the conversion of variable-length x86 instructions into simple, fixed-length risc operations (rops) that are easier to handle and execute faster. once the x86 instruction has been converted, a dispatcher issues four rops at a time to the superscalar core. the processors superscalar core can execute at a peak rate of six rops per cycle. the superscalar core sup- ports data forwarding and data bypassing to immediately for- ward the results of an execution to successive instructions. this eliminates the delay of writing the results to output regis- ters or memory and reading them back to the instruction need- ing the results. 4.2 out-of-order execution the AMD-K5 processor implements out-of-order execution to eliminate delays due to pipeline dependencies. each execution unit has two reservation stations that hold rops prior to exe- cution (except the fpu, which has one reservation station). rops can be issued out of order from the reservation stations and executed out of order. some execution units will empty their reservation stations before others. since each execution
7 18522f/0jan1997 AMD-K5 processor data sheet preliminary information unit can operate independently, other units can continue exe- cution when one or more units are stalled. a 16-entry reorder buffer keeps track of the original instruction sequence and ensures that the results are retired in program order. 4.3 register renaming the x86 architecture has only eight general-purpose registers. this significantly increases register reuse (loads and stores) and register dependencies. the register reuse is addressed with multiple load/store execution units and a dual-ported data cache. the AMD-K5 processor uses register renaming to over- come register dependencies. multiple logical registers for each physical register allow execution units to use the same physi- cal name registers simultaneously. 4.4 64-bit data bus interface unit the AMD-K5 processor uses a 64-bit data bus that provides higher throughput and support for 64-bit data paths, and a cache/burst-oriented line refill for loading the processors internal separate instruction and data caches. as code and data enter the bus interface unit, the internal cache refills con- tinually as fast as five clock cycles per cache line. the enhanced bandwidth of the processors data bus and the con- tinuous cache refill process reduces processing delays and sup- ports superior processor and overall system performance. 4.5 innovative x86 instruction predecoding while processing variable-length instructions is manageable in single-issue 4th-generation and dual-issue 5th-generation cpus, only the AMD-K5 processor employs the necessary inno- vative techniques to issue as many as four x86 instructions per clock cycle. every byte of code that enters the AMD-K5 processor is tagged with associated predecode information that identifies the x86 instruction boundaries and enables multiple x86 instructions (varying in length from 8 to 120 bits) to be aligned. once aligned, the instructions are assigned issue positions for the most efficient instruction processing ? contributing to the pro-
8 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information cessors high performance. in addition to indicating where the x86 instruction begins and ends, the predecode information identifies the position of the opcode and the number of simple risc-like operations (rops) the individual x86 instruction requires for later translation. after the x86 instructions are predecoded, they are loaded into the instruction cache. when accessed from the instruction cache, the speculative instructions ( x 86 instructions from a pre- dicted branch stream) are pushed into the byte queue and await further decoding. the byte queue not only contains the x86 instructions but also the associated predecode tags that mark each instructions position and operation type. 4.6 cache architecture much of the AMD-K5 processors performance advantage can be credited to the processors instruction cache architecture and its ability to feed the processor core. using separate instruction and data caches eliminates the internal conflicts over simultaneous instruction cache access and x86 loads and stores. the processors 16-kbyte instruction cache is dual- tagged, avoiding the linear-to-physical address translation required to access every entry and allowing faster cache access. in addition, the processor maintains a separate set of physical instruction tags for snooping and aliasing, and through a special protocol, prevents flushing the cache even during translation lookaside buffer (tlb) flushes or context switches. the processors instruction cache implements a four-way set- associative structure for maximum cache performance in a given size and maintains branch prediction information with every cache line. the 8-kbyte data cache allows two cache lines of data to be accessed simultaneously in a single clock cycle, as long as sepa- rate banks within the data cache are accessed. supporting two accesses per clock enables the data cache to overcome the load/store bottlenecks inherent in the x86 architecture. the AMD-K5 processors data cache uses a modified, exclusive, shared, invalid (mesi) protocol to maintain data coherency with other caches in the system and to ensure that a read from
9 18522f/0jan1997 AMD-K5 processor data sheet preliminary information a given memory location returns valid data. each cache line is assigned one of the four protocol states to identify the status of the information stored in the cache. the writeback cache design updates memory only when necessary. this keeps the system bus free for use by other devices and improves the overall system performance. 4.7 branch prediction a branch occurs on average once every seven x86 instructions. when a branch is encountered, the processor predicts which direction the instruction flow will follow. the AMD-K5 proces- sor adds branch prediction information to each instruction cache line in the form of a predicted address tag that indicates the target address of the first branch that is predicted to be taken in the cache line. the processors dynamic branch pre- diction mechanism allows for 1024 branch targets and a 75% branch prediction accuracy. combined with a minimal 3-cycle mispredict penalty, the branch prediction mechanism opti- mizes the processors speculative execution of x86 software, such as the microsoft windows operating system and associ- ated applications. the dynamic branch prediction of the processor enables instructions to be fetched and fed into the processors execu- tion core, eliminating many pipeline bubbles and contributing to the superior performance of the AMD-K5 processor. 4.8 unique x86 instruction conversion and decoding the logical instruction flow within the AMD-K5 processor con- tinues as up to 32-bytes of predecoded x86 instructions are fetched from the byte queue of the instruction cache and for- warded in order to the decoder. the processor's decoder converts complex x86 instructions into relatively simple, fast-executing rops that are of fixed length and easy to process. simultaneously, the operands needed to perform the rops operations are fetched from the register file or from the reorder buffer.
10 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information at the beginning of the decode process, the decoder scans the x86 instructions and allocates the instructions to the appropri- ate decode position. this allocation depends on the 5-bit tag given to each x86 instruction during predecode. when the pre- decoded instruction passes through the AMD-K5 processors decoder, the number of rops needed to equate to the x86 instruction is already known from predecoding, saving valu- able processing time. during allocation, the instructions pathways are identified. if an x86 instruction requires less than four rops for conversion, it is sent immediately to any of the four decode positions (fast- path). complex x86 instructions requiring four or more rops (or rop sequences) are transferred to the microcode rom (mrom) for conversion. once through the decode position, the rops are dispatched in parallel to reservation stations that reside in each of the pro- cessors six execution units. a reservation station precedes the input to individual execution units. each execution unit has a pair of reservation stations. the processor sends rops to the reservation stations in order, but when the rops are passed on to the execution units they can be executed out of order because the reservation stations can empty at different times. out-of-order execution elimi- nates the need for compiler-specific optimization and reduces dependencies. the rops wait in the reservation stations for the execution unit processing to complete and for the needed operands, which come from the register file, the data cache, or are forwarded from other execution units. as an execution unit finishes processing one instruction, it receives another instruc- tion from the reservation station. using reservation stations in this manner, the processor minimizes instruction stalls due to dependencies on execution resources and allows a higher issue rate to be maintained. 4.9 reorder buffer the AMD-K5 processor uses a central reorder buffera key to supporting speculative out-of-order execution (issue and com- pletion). the central reorder buffer is used to rename regis- ters, provide subsequent forwarding of requested intermediate
11 18522f/0jan1997 AMD-K5 processor data sheet preliminary information results, recover from mispredictions and exceptions, and hold the relative speculative state. the processors 16-entry reorder buffer stores results from x86 instructions that have been speculatively executed at the time a branch was predicted. when rops are dispatched to one of the processors six independent execution units, an entry at the top of the reorder buffer is allocated for each rop. up to four entries are allocated simultaneously. the reorder buffer keeps track of the original instruction sequence and ensures that results are retired in program order, writing the results of the executed instruction to the register file. if a branch is mispredicted, the results of the instructions along the mispre- dicted path are invalidated in the reorder buffer before there is any effect on the x86 registers or memory system. 4.10 register file a problem with the x86 architecture has been its limited num- ber of general-purpose registers. fewer registers means fre- quent reuse of registers, which potentially leads to a reduction in performance. the AMD-K5 cpu utilizes register renaming and avoids this performance reduction. because the movement of values between registers and mem- ory locations is unavoidable with the x86 instruction set, a key advantage of the AMD-K5 cpu is its single-cycle load from the data cache. this, in combination with the multiported register file and renaming in the reorder buffer, gives near optimal speculative performance within the constraints of the x86 instruction set. 4.11 the right combination compatibility and performance while each feature has a significant function, it is the combina- tion of all features that is responsible for the AMD-K5 proces- sors overall design and performance advantages. compatibility with the microsoft windows operating system and the immense library of x86 software furthers these advan- tages, and is the foundation of the AMD-K5 processors lead- ing-edge solution.
12 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 5 cpu identification upon completion of reset, the dx register contains a component identification. the upper byte of dx (dh) will contain 05h. the lower byte of dx (dl) will contain a cpu model (0hC2h)/stepping identifier (xh). the boundary scan test access port (tap) returns the following information in the device identification register (dir). cpu id family id (dh) model id (dl, top 4 bits) cpu frequency (mhz) bus speed processor p-rating bf pin bf1Cbf0 pins 5 0 75 50 AMD-K5-pr75 1 n/a 90 60 AMD-K5-pr90 1 n/a 100 66 AMD-K5-pr100 1 n/a 1 90 60 AMD-K5-pr120 n/a 10 100 66 AMD-K5-pr133 n/a 10 2 116.7 66 AMD-K5-pr166 n/a 00 notes: this table does not constitute product announcements. instead, the information in the table represents possible prod- uct offerings. amd will announce actual products based on availability and market demand jtag id code version (bits 31C28) bond option (bit 27) unused (bits 26C24) part number (bits 23C12) manufacturer (bits 11C1) lsb (bit 0) xh xb 000b 50xh (model 0) 51xh (model 1) 52xh (model 2) 00000000001b 1b
13 18522f/0jan1997 AMD-K5 processor data sheet preliminary information 6 logic symbol diagram a20m a31Ca3 ap ads adsc apchk be7 Cbe0 ahold boff breq hlda hold d/c ewbe lock m/io na scyc w/r cache ken pcd pwt wb/wt clock bus arbitration clk bf (model 0) bf0Cbf1 (models 1 & 2) frcmc ierr tck tdi tdo tms trst brdy brdyc d63Cd0 dp7Cdp0 pchk pen eads hit hitm inv ferr ignne buschk flush init intr nmi prdy r/s reset smi smiact stpclk test and debug data and data parity inquire cycles floating-point errors external interrupts, interrupt acknowledge, and reset address and address parity cycle definition and control cache control AMD-K5 processor
14 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 7 signal descriptions a31Ca5/a4Ca3 address lines input/output a31Ca3 are used with be7 Cbe0 to form the address bus. these signals are outputs to address memory space, i/o space, and system management memory. a31Ca5 are used as inputs for inquire cycles. a4Ca3 are not used during the inquire cycle, but must be driven to valid levels. during bus hold, address hold, or back-off, a31Ca3 are floated. (see switching charac- teristics t 14 and t 15 .) a20m address bit 20 mask input asserting a20m will mask address bit 20 internally for internal cache accesses or driving memory cycles on the external bus. a20m should be asserted only in real mode. its effect is not defined in protected mode. the state of a20m is ignored dur- ing transfers to and from smm memory. a20m is sampled on every rising clock edge. (see switching characteristics t 26 and t 27 .) ads address status output ads indicates the beginning of a new bus cycle. valid addresses and cycle information are available on the address bus simultaneously with the assertion of ads . ads is floated during bus hold or back-off. adsc address status copy output adsc performs the same function as ads . it permits greater fanout. adsc is normally used to directly drive the cache to achieve greater speed. ahold address hold input a31Ca3 and ap are floated on the clock after ahold is recog- nized as asserted. other signals remain active. this allows another bus master to access the processors address bus for a cache inquire cycle. ahold has a small internal pulldown resistor. ( see switching characteristics t 22 and t 23 . )
15 18522f/0jan1997 AMD-K5 processor data sheet preliminary information ap address parity input/output the ap signal provides even parity for the address bus. this signal is driven simultaneously with the address bus. inquire cycles that do not provide even parity in the same clock cycle as eads will result in the assertion of apchk . (see apchk .) apchk address parity check output if the processor detects an address parity error on the address bus for inquire cycles, apchk is asserted on the second clock after eads is sampled . it remains active for one clock. be7 Cbe0 byte enables output the be7 Cbe0 signals indicate active bytes during read and write cycles. the eight byte-enable signals correspond to the eight bytes of the data bus as follows: these signals are driven at the same time as the address bus. the byte-enable signals are also used to decode special cycles as defined in table 6. bf (model 0) bus frequency input for the AMD-K5 model 0 processor, the bf signal determines the internal operating speed of the processor. the frequency of the clk signal is multiplied internally by a ratio determined by the state of the bf signal during reset. if bf is sampled high at reset, the clock frequency is 1.5x the bus frequency. if bf is sampled low at reset, the clock frequency is 2x the bus frequency. n be7 : d63Cd56 n be3 : d31Cd24 n be6 : d55Cd48 n be2 : d23Cd16 n be5 : d47Cd40 n be1 : d15Cd8 n be4 : d39Cd32 n be0 : d7Cd0 bf pin internal clock multiplier 0 2 1 1.5
16 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information bf1Cbf0 (model 1 and model 2) bus frequency input for the AMD-K5 model 1 and model 2 processors, the bf1 and bf0 signals determine the internal operating speed of the pro- cessor. the frequency of the clk signal is multiplied inter- nally by a ratio determined by the states of the bf1 and bf0 signals during reset. the processor speed multiplier is deter- mined as shown below: boff backoff input the processor will transition to a bus hold state and float the associated signals on the clock that boff is sampled as asserted. an alternate master may drive the bus signals on the clock after boff is sampled asserted. when boff is negated, the processor will restart any bus cycle from the beginning. burst cycles interrupted by boff will restart from the begin- ning of the burst cycle. boff takes priority over brdy . if brdy is sampled asserted in the same cycle as boff , the cycle will be restarted. ( see switching characteristics t 22 and t 23 .) brdy burst ready input brdy is sampled on the second and following clocks of a bus cycle to indicate completion of a data transfer cycle. brdy is ignored at the end of the first clock of a bus cycle and when the bus is in an idle state. the data bus is sampled when brdy is asserted. up to four assertions of brdy are needed to com- plete the bus cycle. (see switching characteristics t 20 and t 21 .) brdyc burst ready copy input brdyc is functionally identical to brdy . these signals are connected internally by an or gate. brdyc is typically used by level two cache. at the falling edge of reset, the states of brdyc and buschk control the drive strength on the a21C a3 (not including a31Ca22), ads , hitm , and w/r signals. the drive strength is weak for all states of brdyc and buschk except when brdyc and buschk are both low, in which case bf1 pin bf0 pin internal clock multiplier 0 0 1.75 0 1 reserved 1 0 1.5 1 1 1.5
17 18522f/0jan1997 AMD-K5 processor data sheet preliminary information the drive strength is strong. the a31Ca22 signals use the weak drive strength at all times. breq bus request pending output the processor asserts the breq signal to indicate a request for the bus. this signal is driven even when the processor floats the bus (except in test mode). (see flush .) buschk bus check input the buschk signal allows the external system to indicate bus cycle errors. this signal, when asserted, latchs the address bus. the control signals in the machine check registers will also latch. if the mce bit in cr4 is set, the processor will vector to the machine check exception at the end of the bus cycle. at the falling edge of reset, the states of brdyc and buschk con- trol the drive strength on the a21Ca3 (not including a31Ca22), ads , hitm , and w/r signals. the drive strength is weak for all states of brdyc and buschk except brdyc and buschk both low, in which case drive strength is strong. a31Ca22 use the weak drive strength at all times. cache cache status output the cache signal is asserted for cacheable read cycles or burst writeback cycles. a burst access is always four 64-bit transfers associated with a line refill or a cache write back. read data will not be cached if cache is negated during a read cycle, or if ken is negated. ken must be asserted during the first access of a burst transfer. if ken is negated, a single access occurs. clk clock input the clk signal is the bus clock for the processor, and is the primary reference for all bus cycle timings (except for test sig- nals). it is used with the bf signal to determine the internal operating speed of the processor. the processor multiplies the clock input by 1.5 or 2. (see bf.) d/c data/code output the d/c signal, driven active with ads , is used with other con- trol signals to determine bus cycle and special cycle types. it is floated with boff and bus hold. these cycles are defined in table 5 and table 6 on page 27.
18 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information d63Cd0 data lines input/output the d63Cd0 signals are the 64-bit data bus. these signals are driven during the second and subsequent clocks of write cycles, with valid bytes indicated by be7 Cbe0 . they are sam- pled when the brdy signal is asserted for read cycles. (see switching characteristics t 34 and t 35 .) dp7Cdp0 data parity input/output the dp7Cdp0 signals provide even parity, one for each of the eight bytes of the data bus. the eight data parity signals corre- spond to the eight bytes of the data bus as follows: these signals are driven with the data bus. read cycles that do not provide even parity when the read data is driven result in the assertion of pchk . byte enables are negated for invalid data bytes. for systems that do not use parity, dp7Cdp0 should be connected to v cc through a pull-up resistor. (see pchk and switching characteristics t 34 and t 35 .) eads valid external address input the eads signal indicates that a valid address is driven on the address bus during inquire cycles. eads has an internal pull- up resistor. (see switching characteristics t 16a and t 17 .) ewbe external write buffer empty input external system logic notifies the processor of pending buff- ered write cycles by negating the ewbe signal. the processor will hold writes to exclusive or modified cache lines until ewbe is asserted. ferr floating-point error output the ferr signal is asserted as a result of an unmasked float- ing-point error. it is only floated during test. n dp7: d63Cd56 n dp3: d31Cd24 n dp6: d55Cd48 n dp2: d23Cd16 n dp5: d47Cd40 n dp1: d15Cd8 n dp4: d39Cd32 n dp0: d7Cd0
19 18522f/0jan1997 AMD-K5 processor data sheet preliminary information flush cache flush input asserting flush will flush the internal caches. for accep- tance, flush must meet the required setup and hold times for one or more clocks. instruction and data caches will be invali- dated. any modified data in the data cache will be written back. a flush acknowledge cycle will follow the invalidation to notify external logic that the internal caches have been flushed. the flush signal is also sampled at the falling edge of reset. if sampled low, the processor will operate in tri- state test mode. frcmc functional redundancy check master/checker input frcmc is used to configure the processor as a master or checker. frcmc is only sampled at reset. sampling frcmc high configures the AMD-K5 processor for master mode opera- tion, and sampling frcmc low configures the processor for checker operation. the processor follows standard bus proto- col in master mode. it floats all outputs, with the exception of ierr and tdo, in checker mode. in checker mode, all signals are inputs and their values are compared with predicted values. hit hit output the hit signal is asserted when an inquire cycle hits a valid line in the instruction or data cache. this signal can be sam- pled two clock cycles after eads has been sampled as asserted. hitm hit to a modified line output the hitm signal is asserted when an inquire cycle hits a modi- fied line in the data cache. this signal can be sampled two clock cycles after eads has been sampled as asserted. hitm will remain asserted until the modified line has been written back. hlda hold acknowledge output the hlda signal is driven to acknowledge a bus hold request. the bus is floated when hlda is asserted. hlda will be negated one clock cycle after hold is negated. (see hold.)
20 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information hold bus hold request input the hold signal is used to request the processor bus. when this signal is asserted, the processor will complete all pending bus cycles, float the bus, and assert the hlda signal. this sig- nal is not recognized during locked cycles. (see switching characteristics t 24 and t 25b .) ierr internal error output ierr indicates internal parity errors and functional redun- dancy errors. internal parity errors will cause ierr to be asserted for one clock, and the processor will halt. functional redundancy errors, when configured as a checker, will cause ierr to be asserted in the second clock after the mismatched output value was detected. ignne ignore numeric error input the ignne signal is used in conjunction with the ne bit in cr0 to control response to numeric errors in the floating-point unit. numeric errors are handled internally when the ne bit is set. when the ne bit is not set, errors are reported if i gnne is asserted and ignored when negated. (see switching character- istics t 28 and t 29 .) init initialize input the processor will perform a warm initialization when the init signal is asserted. the init signal is similar to the reset sig- nal except that the data buffers, data cache, floating-point reg- isters, instruction cache, and smbase registers are not modified. the processor will perform a self-test if the init sig- nal is sampled high at the falling edge of reset. intr maskable interrupt input the intr signal is used to generate interrupts. the interrupt number is transferred to the processor during the interrupt acknowledge cycle. to ensure that interrupts are acknowl- edged, the intr signal must be asserted until a locked inter- rupt acknowledge cycle is complete. the intr can be masked by clearing the if bit in the eflags register. (see switching characteristics t 26 and t 27 .)
21 18522f/0jan1997 AMD-K5 processor data sheet preliminary information inv invalidation input the inv signal is used to designate the mesi protocol state of the cache line for inquire cycles that result in hits. this signal is sampled on the same clock that eads is asserted. sampling inv low will result in the shared state, while sampling inv high will result in the invalid state. ken cache enable input ken is asserted to enable caching. caching is disabled when ken is negated. returning ken asserted with the first brdy or na of a cacheable cycle causes the line to be placed in the cache. returning it negated transforms the cycle into a non- cacheable, single-cycle read. ken has a small internal pull-up resistor. (see switching characteristics t 18a and t 19 .) lock bus lock output the lock signal is asserted to indicate locked cycles, and is asserted during the first clock of a locked cycle. it is negated after brdy is sampled for the last locked bus cycle. a hold request will not be acknowledged during locked cycles, but ahold and boff are allowed during locked cycles. m/io memory/ input-output output the m/io signal is used with other control signals to determine bus cycle type. these cycles are defined in table 5 and table 6 on page 27. m/io is driven active with ads . na next address input na is asserted when external memory is prepared to accept a pipelined cycle. na does not generate pipelined cycles when lock is asserted, during writeback cycles, or when there are no pending internal cycles. furthermore, locked or writeback cycles are not pipelined. ken and wb/wt are sampled when na or brdy is asserted, whichever comes first. nmi non-maskable interrupt input asserting the nmi signal generates a non-maskable interrupt. the nmi input is rising-edge sensitive. the nmi signal must be held low for at least one clock before its rising edge.
22 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information pcd page cache disable output the pcd signal provides cacheability status by reporting the contents of the pcd bit in cr3, the page directory, or the page table entry. pcd reflects the state of the pcd bit in cr3 if non-paged cycles occur. in real mode or protected mode when paging is disabled, pcd reflects the state of the cd bit in cr0. pchk parity status output the pchk signal is asserted to indicate a data parity error for data read cycles. it may be sampled for parity status on the sec- ond clock after brdy is sampled as asserted. except during test mode, pchk is never floated. pen parity enable input pen , when asserted on a parity error, causes the address and control signals of the cycle to be latched into the machine check registers. the mce bit in cr4, if set, will cause a vector to the machine check exception before another instruction is executed. prdy probe ready output the processor asserts prdy to acknowledge the system logics assertion of r/s or execution of the test access port (tap) instruction, usehdt, and to indicate the processors entry into the hardware debug tool (hdt) mode for debugging. pwt page write-through output the pwt signal provides writeback status by reporting the contents of the pwt bit in cr3, the page directory, or the page table entry. the pwt signal reflects the state of the pwt bit in cr3 when non-paged cycles occur or paging is disabled. in real mode or protected mode, when paging is disabled, pwt will be zero. reset reset input the processor will reset when the reset signal is asserted. the processor cannot begin execution until at least 1 ms after v cc , bf, and clk have stabilized. the operating mode is determined by the state of the flush , init, and frcmc sig- nals during the falling edge of reset. (see flush , init, frcmc, and switching characteristics t 36 and t 37 .)
23 18522f/0jan1997 AMD-K5 processor data sheet preliminary information r/s run/stop input the r/s signal provides an edge-sensitive interrupt to stop nor- mal execution. a falling-edge transition halts execution at the next instruction boundary. a rising-edge transition, which must not occur before prdy is asserted, resumes execution. scyc split cycle output scyc indicates split cycles when lock is asserted. this signal indicates that more than two cycles will be locked together for misaligned locked transfers. smi system management interrupt input smi allows external logic to request a non-maskable system management interrupt. asserting this signal will cause the pro- cessor to suspend normal execution and enter system manage- ment mode (smm) at the next instruction boundary. smiact smi active output smiact is asserted when the processor is operating in smm. stpclk stop clock input stpclk , when asserted, causes the processor to complete the current instruction and issue a stop grant bus cycle. once the stop grant is issued, the processor stops the clock, retaining the ability to execute inquire cycles. tck test clock input tck is a test clock signal. it conforms to the ieee-1149.1 boundary scan interface. tdi test data input input the tdi signal is a serial input for test data and tap instruc- tions. the instructions or data are sampled on the rising edge of the tck signal. tdo test data output output the tdo signal is a serial output for test data and tap instruc- tions. tdo is updated on the falling edge of the tck signal.
24 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information tms test mode select input the tms signal is used to select the tap test modes. this sig- nal is sampled on the rising edge of the tck. tms has an inter- nal pull-up resistor. trst test reset input asserting trst initializes the tap controller. w/r write/read output the w/r signal is used with other control signals to distinguish bus cycles and special cycles. these cycles are defined in table 5 and table 6 on page 27. w/r is driven active with ads , and floated with boff and bus hold. wb/wt writeback/writethrough input the state of wb/wt determines the mesi cache protocol state of a data line during cache line fills. when the signal is driven high, the cache line will be loaded in the exclusive state. when the signal is driven low, the cache line will be loaded in the shared state. table 1. input pins name type note name type note a20m asynchronous note 1 ignne asynchronous ahold synchronous init asynchronous bf synchronous note 2 intr asynchronous boff synchronous inv synchronous note 5 brdy synchronous ken synchronous note 6 brdyc synchronous na synchronous buschk synchronous note 3 nmi asynchronous notes: 1. a20m may change during reset or during a serializing event like an i/o write. a state change at other times will result in incorrect address generation on subsequent memory cycles. 2. bf and frcmc are normally connected to v cc or v ss by a jumper. for correct operation, any change on these signals should be followed by a reset. 3. buschk is sampled in every clock. any asserted sample is remembered and takes effect on the same clock as the last brdy . 4. these are sampled in the same clock as brdy. 5. this is sampled in the same clock as eads . 6. these are sampled with the first brdy or na and must meet setup to every clock
25 18522f/0jan1997 AMD-K5 processor data sheet preliminary information clk clock pen synchronous note 4 eads synchronous reset asynchronous ewbe synchronous note 4 r/s asynchronous flush asynchronous smi asynchronous frcmc asynchronous note 2 stpclk asynchronous hold synchronous wb/wt synchronous note 6 table 2. output pins name floated at (note 1) name floated at (note 1) a4Ca3 bus hold, address hold, boff hlda always driven ads bus hold, boff ierr always driven adsc bus hold, boff lock bus hold, boff apchk always driven m/io bus hold, boff be7 Cbe0 bus hold, boff pcd bus hold, boff breq always driven pchk always driven cache bus hold, boff prdy always driven d/c bus hold, boff pwt bus hold, boff ferr always driven scyc lock not asserted, bus hold, boff hit always driven smiact always driven hitm always driven w/r bus hold, boff notes: 1. all outputs float during tri-state test mode. table 1. input pins (continued) name type note name type note notes: 1. a20m may change during reset or during a serializing event like an i/o write. a state change at other times will result in incorrect address generation on subsequent memory cycles. 2. bf and frcmc are normally connected to v cc or v ss by a jumper. for correct operation, any change on these signals should be followed by a reset. 3. buschk is sampled in every clock. any asserted sample is remembered and takes effect on the same clock as the last brdy . 4. these are sampled in the same clock as brdy. 5. this is sampled in the same clock as eads . 6. these are sampled with the first brdy or na and must meet setup to every clock
26 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information table 3. input/output pins name when floated a31Ca5 bus hold, address hold, boff ap bus hold, address hold, boff d63Cd0 bus hold, boff dp7Cdp0 bus hold, boff table 4. test pins name type note tck input tdi input sampled on the rising edge of tck. tdo output driven on the falling edge of tck. tms input sampled on the rising edge of tck. trst input table 5. bus cycle definition bus cycle initiated generated by cpu generated by system m/io d/c w/r cache ken code read, instruction cache line fill 1000 0 code read, noncacheable 1001 x code read, noncacheable 1 0 0 x 1 encoding for special cycle 0011 x interrupt acknowledge 0001 x i/o read, noncacheable 0101 x i/o write, noncacheable 0111 x memory read, data cache line fill 1100 0 memory read, noncacheable 1101 x memory read, noncacheable 1 1 0 x 1 memory write, data cache writeback 1110 x memory write, noncacheable 1111 x
27 18522f/0jan1997 AMD-K5 processor data sheet preliminary information table 6. special cycles special cycle a4 be7 be6 be5 be4 be3 be2 be1 be0 m/io d/c w/r cache ken branch trace 011011111 0 0 1 1 x flush (invd, wbinvd execution) 011111101 0 0 1 1 x flush acknowledge (flush asserted low) 011101111 0 0 1 1 x halt 011111011 0 0 1 1 x shutdown 011111110 0 0 1 1 x stop clock acknowledge 1 1 1 1 1 1 0 1 1 0 0 1 1 x writeback (wbinvd execution) 011110111 0 0 1 1 x
28 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 8 processor operation 8.1 power-on configuration the AMD-K5 processor signals at reset are listed in table 7. 8.2 clock state the AMD-K5 processor uses the enhanced 486 protocol to con- trol the clock. this protocol provides for stopping the clock from hardware using the stpclk control signal, or from soft- ware using the halt instruction. during the clock-stopped states, cache coherency is maintained by temporarily enabling the clock for snoop processing and recognizing hold/hlda arbitration sequences. a state transition diagram for a stop clock state machine implementing five clocking statesthe enhanced 486 protocolis illustrated in figure 3 on page 29. table 7. signals at reset output state at reset output state at reset address float ferr 1 ads 1hit 1 apchk 1hitm 1 be7 Cbe0 undefined hlda 0 brdy 1 lock 1 brdyc 1m/io undefined breq 0 pcd undefined cache undefined pchk 1 d/c undefined prdy 0 data float pwt undefined dp7Cdp0 float w/r undefined
29 18522f/0jan1997 AMD-K5 processor data sheet preliminary information figure 3. state transition diagram for stop clock state machine eads eads upon completion of a state, return to the previous state until the normal state is reached. normal execution 1.5x and 2x clocks main clocks enabled halt/auto-power-down state digital pll running primary inputs monitored main clocks enabled stop grant state digital pll running primary inputs monitored main clocks disabled stop clock snoop state digital pll running main clocks enabled run coherency cycle stop clock state digital pll disabled main clocks disabled start clocking clk input startup in approximately 1000 clocks external clk stopped coherency cycle complete stpclk stpclk negated intr, nmi, smi halt instruction stpclk asserted stpclk negated coherency cycle complete normal execution approx. 15 ma/mhz @ 3.3 v halt/auto-power down state approx. 10 ma total stop grant state approx. 10 ma total stop clock snoop state approx. 15 ma/mhz @ 3.3 v stop clock state approx. 100 a total stpclk asserted
30 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information normal execution state in this state, the AMD-K5 processor operates at full speed. all clocks are running. halt/auto-power- down state in this state, most internal clocks are stopped. the phase lock loop (pll) is operating and certain bus interface components are clocked. instruction execution is disabled. this aids in timely detection of inquire cycles and hold/hlda sequences, while greatly reducing power consumption. the halt/auto-power-down state is entered from normal exe- cution state by executing the halt instruction in real mode or protected mode. the clock state will return to normal execu- tion state when an interrupt, non-maskable interrupt, system management interrupt, power-on reset, or soft reset is detected (intr, nmi, smi , reset, or init, respectively). the clock state may temporarily transition from halt/auto-power-down state to stop clock snoop state to process an inquire cycle or to stop grant state in response to a stpclk . in these cases, the clock state will return to halt/auto-power-down state and wait for one of the interrupt conditions when the secondary condition is removed. stop grant state in this state, most internal clocks are stopped. the pll is oper- ating and certain bus interface components are clocked. instruction execution is disabled. this allows timely detection of inquire cycles and hold/hlda sequences, while greatly reducing power consumption. the stop grant state is entered from normal execution state or halt/auto-power-down state by asserting the stpclk pin. when stpclk is sampled as asserted, the current instruction is completed, all processing is stopped, a stop grant bus cycle is generated, and the clock is shut down. the clock state will return to its previous state when stpclk is negated. once asserted, stpclk must not be negated until the stop grant acknowledge special cycle is seen. the clock state may tempo- rarily transition from stop grant state to stop clock snoop state to process an inquire cycle, or to stop clock state to pro- cess a stop clock request. in these cases, the clock state will return to stop grant state when the secondary condition is removed. stpclk is treated as the lowest priority external interrupt. if a higher priority external interrupt exists (power-on reset, soft reset, flush, system management interrupt, non-maskable
31 18522f/0jan1997 AMD-K5 processor data sheet preliminary information interrupt, or maskable interrupt), recognition of stpclk is delayed until the interrupt processing is complete. however, assertion of a higher priority interrupt will not cause the stop grant state to be exited. stop clock snoop state in this state, all internal clocks are running and an inquire cycle is being performed. instruction execution is disabled and hold/hlda operate normally. stop clock snoop state is entered from halt/auto-power-down state or stop grant state when an inquire cycle is detected. this is a temporary state, lasting only until the coherency oper- ation (snoop/miss, snoop/invalidate or snoop/writeback) is com- plete. the clock state will then return to the previous state. (see figure 24 on page 72.) stop clock state in this state, all internal clocks are stopped, the pll is shut down, and all execution is disabled. if hold is asserted while the clock is running, hlda will be generated and the buses floated. if hold is negated, hlda will be negated and the buses will be driven to their previous state without regard to whether the clock is running. this is the lowest power state. the stop clock state is entered from the stop grant state by stopping the clk. the clock state returns to stop grant state when the clk is again started. the time required to restart the clk and enter the stop clock state is approximately 1000 clock cycles. 8.3 cache protocol internal cache the AMD-K5 processor has a 16-kbyte dual-tagged instruction cache with 32-byte lines and an 8-kbyte dual-tagged data cache of 32-byte lines. cache lines refill in four transfer burst cycles from memory and align along 32-byte lines. the operating mode is software-controlled, and on-chip caches must be enabled by software. this is accomplished by clearing or setting the cd and nw bits of cr0. any area of memory can be cached. software can prevent areas of memory from being cached by setting the pcd bit in the corresponding page table entry. hardware can prevent areas of memory from being cached through the ken pin.
32 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information the AMD-K5 processor uses the mesi protocol2 bits per cache linein its data cache to ensure consistency in multipro- cessing systems. the physical tags of both the instruction and data cache are accessed and compared during each inquire cycle to maintain a consistent copy of data. cacheability the pcd and pwt bits in the page directory and page-table entry control caching on a page-by-page basis. the pcd and pwt bits manage page caching and drive processor pcd and pwt output pins. pcd affects the cacheability of pages in the internal cache. the pwt bit determines whether the writethrough or write- back policy is used for this particular page. copy-back buffers a one-line copy-back buffer is employed within the AMD-K5 processor to temporarily hold a modified entry being replaced in the data cache. the replaced line is stored in the copy-back buffer at the same time the read request for the replacement line is sent externally. following completion of the read access, the modified line in the copy-back buffer is written back to memory. the copy-back buffer is snooped during inquire cycles. a requested-word-first protocol is implemented by the AMD-K5 processor. following receipt of the first data item, execution continues while the following three entries of the line are being fetched. the line is not marked valid until the last entry is stored in the cache. 8.4 data cache coherency throughout this discussion, the mesi states may be abbrevi- ated as follows: mmodified exclusive state eexclusive state sshared state iinvalid state cache invalidation flush writes back all modified lines and then invalidates all cache lines and generates a flush acknowledge special cycle to instruct the l2 cache to invalidate all lines.
33 18522f/0jan1997 AMD-K5 processor data sheet preliminary information the invd instruction invalidates the entire cache and gener- ates a flush special cycle to instruct the l2 cache to invalidate all lines. the wbinvd instruction writes back and invalidates all cache lines, generates a write back special cycle to instruct the l2 cache to write back all lines, and then generates a flush spe- cial cycle to instruct the l2 cache to invalidate all lines. read cycles the cache response to processor-generated reads is described in table 8. processor reads that hit in the data cache require no external data cycle. the data is provided by the cache. pro- cessor reads that miss in the data cache generate a read-allo- cate operation, including an external bus cycle. the action of the cache is dependent on the system response to that cycle. the cache state transition for read cycles is also described in table 8. a read allocate begins by selecting the way in the cache to be replaced at random. if the selected line is not modified, the data is discarded and the read of the new line is begun. when the first quad word of table 8. processor reads to data cache state cache ken wb/wt pwt next state note mx x x x m 1 ex x x x e 1 sx x x x s 1 i00 1 0 e 2 i00 0 x s 3 i1 x x x i 4 ix1 x x i4, 5 notes: 1. a read cycle hit: data is provided directly from the cache. 2. a read cycle miss: selects the line for replacement; writes back the replaced line if it is modified (otherwise, discards the line). the line is cached as writeback. 3. a read cycle miss: selects the line for replacement; writes back the replaced line if it is modified (otherwise discards the line). the line is cached as writethrough. 4. a read cycle miss: the line is not cacheable. 5. within the cache directory, the invalid state indicates that the cache entry contains no valid data. for purposes of hit/miss determination, the invalid state indicates that the referenced cache line is not present in the cache. when a line is selected for replacement, all invalid ways are selected before any valid data is displaced from the cache.
34 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information the new line is received, it is forwarded to the execution units. when all four quad words are available, they are copied to the cache line at the selected way and the cache status is updated. if the selected line is modified, the read of the new line is begun at the same time the contents of the replaced line are copied to the copy-back buffer. when the first quad word of the new line is received, it is forwarded to the execution units. execution continues concurrently as the rest of the block is received. when all four quad words are available, they are cop- ied to the cache line at the selected way and the cache status is updated. concurrently, the contents of the replaced line are written to memory. write cycles processor writes that hit in modified or exclusive lines in the data cache require no external data cycle. the data is updated in the cache. processor writes that hit shared lines of the data cache update the data cache and memory. the status returned with the writethrough bus cycle determines the final state of the line. if write allocate is enabled in the AMD-K5 processor, processor writes that miss in the data cache generate an external data cache read cycle followed by a write hit. if write allocate is not enabled in the AMD-K5 processor, write misses generate an external write cycle only. write allocate write allocate is an operating mode of the AMD-K5 processor that causes cache write misses to either proceed as normal write misses or to be converted to data cache line fills followed by cache write hits. the write allocate feature provides improved performance on repeat accesses to write-allocated data cache lines. the load/store unit in the processor deter- mines whether each cache write miss is write-allocatable by whether it falls in or out of the ranges specified in the memory range registers. for details on the implementation of write allocate, refer to the AMD-K5 processor software development guide , order# 20007. before the write cycle occurs for a write miss with write allo- cate enabled, an external data cache read cycle occurs that fol- lows the normal rules for read allocate, and the intermediate state of the filled data cache line depends on the result of the
35 18522f/0jan1997 AMD-K5 processor data sheet preliminary information read cycle as shown in table 8. the final state of the data cache line is determined as shown in table 9 by the transition from the intermediate read state (m, e, s, or i) to the final state (m, e, s, or i) after the write hit to the cache line. note: in write allocate mode, replaced data cache lines are han- dled in the same way as during read allocate. external inquire cycles the processor supports inquire cycles for both instruction and data caches to maintain cache coherency. inquire cycles are initiated with the assertion of eads and result in a snoop to both the instruction and data caches. the snoop operation is performed using the physical tag arrays that are maintained for this purpose. the snoop operation runs concurrently with internal processor operation. the results of the snoop opera- tion are indicated on the hit and hitm pins. the results of the inquire cycles are described in table 10. (see figure 25 on page 72 and figure 26 on page 73.) table 9. writes to data cache state cache ken wb/wt pwt next state note mx x x x m1 ex x x x m2 s00 1 0 e3 s 00 0 x s3 00 x 1 ixx x x i4 notes: 1. a write hit to modified line: writes data to the cache. 2. a write hit to exclusive line: writes data to the cache. 3. a write hit to shared line: writes data to the cache and memory; invalidates any shared copy in the other cache. 4. if write allocate mode is not enabled, an invalid line always remains invalid. if write allocate mode is enabled, the intermediate state of the filled data cache line depends on the result of the read cycle as shown in table 8, and the final state of the data cache line is determined by the intermediate state as applied to this table.
36 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information instruction cache coherency the instruction cache protocol is a subset of the data cache protocol where only invalid and shared states are imple- mented. read hits provide the data to the processor. read misses result in a read allocate operation that loads the line into the cache and the data is provided to the processor. the first data is provided as soon as it arrives from memory. write cycles are never generated to the instruction cache, but inquire cycles may hit in the instruction cache, resulting in the cache line being invalidated. self-modifying code and the cache a snoop write hit to the instruction cache is treated as self- modifying code. the cache line is invalidated and all instruc- tions in the instruction pipeline are flushed. execution restarts at the instruction following the one causing the snoop. this guarantees exact execution of cacheable self-modifying code. for non-cacheable code, a jump should be placed between the modification of the code and its execution. 8.5 external bus description the AMD-K5 processor external bus is identical to the p54c 64-bit bus, and will run at 1.5x or 2.0x multiples of the external bus frequency. the bus state transitions are illustrated in figure 4. table 10. inquire cycles to data cache state inv next state note m 0 s snoop hit to modified line: assert hit and hitm, write back modified data to memory, negate hitm, transition cache state when complete. 1i e 0s snoop hit to unmodified line: assert hit, transition cache state 1i s 0s snoop hit to unmodified line: assert hit , transition cache state 1i i x i snoop miss: negate hit .
37 18522f/0jan1997 AMD-K5 processor data sheet preliminary information figure 4. bus state transitions memory organization physical memory address space ranges from 0000_0000h to ffff_ffffh. memory space is organized in 64-bit sections. each 64-bit section has 8 bytes at consecutive memory addresses. the first address of each group is evenly divisible by 8, and each group is addressed by a31Ca3. since the proto- col does not implement a2Ca0 when interfacing to 32-bit, 16- bit, or 8-bit memories, the lower portions of the address must be determined by decoding the eight byte-enable signals. the address space of i/o begins at 0000_0000h and ends at 0000_ffffh. i/o space is organized as a sequence of 8-bit quantities. t idle t address t ready no request pending the processor always goes to t ready and processes the data transfer. the processor will remain in t 2 until the transfer is completed. request pending the last brdy . finish the current cycle and return to the idle state. if lock is asserted, and a non-cacheable read is followed by a non-cacheable write, an idle cycle is generated during which lock is negated.
38 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information memory objects can be 8, 16, 32, or 64 bits. i/o objects are 8, 16, or 32 bits. both appear as fields on the 64-bit data bus. data is transferred on the byte lines corresponding to the address. 16-bit or 32-bit objects crossing a 32-bit boundary, or 64-bit objects crossing a 64-bit boundary, are misaligned and will require multiple cycles to transfer. the byte-enable signals and the data lines correspond in the following manner: 8.6 bus cycles bus cycles encode normal read and write accesses to code or data space and handle special events such as interrupt acknowledge. the type of cycle is determined by the cache , d/c , m/io , and w/r outputs. the processor encodes informa- tion with the byte-enable signals for special bus cycles. (see table 6 on page 27.) if m/io is asserted low or pcd is driven high in any cycle, cache is not asserted. the processor uses a burst transfer of four 64-bit accesses, corresponding to the 32-byte line size of the caches, for bus cycles involving cache line movement. table 11 shows the order of burst accesses expected by the external protocol. n be7 : d63Cd56 n be3 : d31Cd24 n be6 : d55Cd48 n be2 : d23Cd16 n be5 : d47Cd40 n be1 : d15Cd8 n be4 : d39Cd32 n be0 : d7Cd0 table 11. addressing of the AMD-K5 processor burst order if 1st address = 0 then 8 then 10 then 18 if 1st address = 8 then 0 then 18 then 10 if 1st address = 10 then 18 then 0 then 8 if 1st address = 18 then 10 then 8 then 0
39 18522f/0jan1997 AMD-K5 processor data sheet preliminary information single transfer cycles single transfer cycles are initiated with the assertion of ads while negating the cache signal. the cycle is completed when the brdy signal is asserted by the external system. a single transfer cycle requires a minimum of two external clock cycles. timing for a single write transfer cycle is illustrated in figure 5. (see figures 28, 29, 30, and 31 beginning on page 74.) figure 5. single writes (zero wait states) burst read cycles the size of a burst read access is always 32 bytes sent as four 64-bit transfers. a burst read access is indicated by the asser- tion of the cache signal, but if the external memory system subsequently does not assert ken , the access will be converted to a single access. data is sampled during the same clock that brdy is asserted. wait states can be added by negating brdy . the initial address and the byte enables are not changed after the initial access of a burst. external hardware must be config- ured to determine the subsequent addresses of the burst in accordance with the ordering specified in table 11. p chk is driven two clocks following an associated data transfer to the processor to indicate a data parity error. (see figure 31 on page 75 and figure 32 on page 76.) burst write cycles like a burst read access, a burst write access is indicated by the assertion of the cache pin. burst write cycles (an exam- ple of which is given in figure 6) only occur for writebacks of modified lines in the processor data cache. these transfers are always four accesses. the address order for writeback cycles is always 0, 8, 10, 18. all other accesses, including unaligned accesses that cross 64-bit aligned boundaries, are sent as single accesses or a series of single accesses. negating brdy until clk ads brdy data add/ w/r control
40 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information the external memory system is ready to receive data adds addi- tional wait states, if they are needed. the processor ceases driving the current data element upon receiving the brdy sig- nal. (see figure 33 on page 76.) figure 6. burst write (one wait state) the external signal ken is ignored for burst write cycles since these are previously cached lines. writebacks can occur as a result of the following: n replacement of a data cache entry that is modified n an inquire cycle that hits in a modified line n assertion of the wbinvd instruction n assertion of the external signal flush only one line is sent for inquire or replacement accesses. assertion of flush or execution of wbinvd results in the modified lines in the entire cache being written back as a series of single line writes. an inquire or replacement access results in a writeback of only one line. boff or ahold/ hold/hlda during burst transfers boff or ahold can be asserted during a burst transfer. the processor will abort a cycle if boff is asserted in the middle of the cycle. when boff is negated, the cycle is restarted from the beginning. if ahold is asserted, the processor responds by floating the address pins in the next clock cycle. the system can then drive the address and assert eads to generate an inquire cycle clk ads brdy w/r cache data add/ control
41 18522f/0jan1997 AMD-K5 processor data sheet preliminary information while the data cycle continues. assertion of hold can occur at any time, but hlda will not be asserted until pending cycles are completed. to avoid excessive power drain, ahold should not be negated when brdy is asserted during a write cycle, and when ads is asserted at the beginning of a writeback cycle. use of boff boff causes the processor to float its local bus on the next clock cycle and to terminate the current bus cycle (see figure 7). boff is sampled every clock cycle. if both boff and brdy are asserted during the same clock cycle, brdy is ignored and the associated data transfer must be re-initiated. if boff is asserted while ads is asserted, the processor floats ads , even though it is in its asserted state. this situation must not be interpreted as the start of a cycle by the system. figure 7. boff timing ken must be reasserted by the system to enable caching on any cycle that was previously aborted by boff . if a burst cycle is aborted by the assertion of boff in the middle of the access, the initial state of ken when the access began will be used when the cycle is restarted. ken should be reasserted if cach- ing is enabled for the cycle. any cycles aborted due to boff are recorded behind a pend- ing writeback cycle that is scheduled in response to a snoop hit to a modified line. for example, if a cache line fill is aborted due to boff , and an external cycle hits a modified line, the cache line fill is completed after the modified line is written back. clk ads boff data add/ control
42 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information locked operations a locked cycle, illustrated in figure 8, uses the lock pin to indicate that the processor is performing a read-modify-write, and that both the read operation and write operation must be allowed to complete as a combined operation. (see figure 36, 40, and 42 beginning on page 78.) figure 8. locked cycles when the program generates a locked access, the processor first looks in the data cache. if the locked object is modified in the cache, it is written back to memory and invalidated. it is then accessed using a locked memory cycle. since combined operations can access misaligned objects, locked operations can result in multiple writebacks, multiple locked reads, and multiple locked writes. when unaligned locked operations are performed, scyc is asserted lock during hold and boff an assertion of hold after a locked operation has initiated is ignored by the processor until after the entire locked opera- tion has completed. following completion, hlda is asserted. if boff is asserted during the read portion of a locked access, lock will float and the entire locked access will be restarted after boff is negated. if boff is asserted during the write portion of a locked access, lock will float and only the write will be restarted after boff is negated. lock operations during inquire cycles inquire cycles can be performed as usual during locked opera- tions. inquire cycles during atomic locked read and write oper- ations are only allowed from the external inquire. no writebacks will be seen because the processor has already evicted the modified line. clk ads brdy w/r lock data
43 18522f/0jan1997 AMD-K5 processor data sheet preliminary information the lock pin is asserted for the duration of locked accesses. note also that at least one dead cycle will always be present between consecutive locked atomic read-modify-write opera- tions. this will be noted by the negating of the lock pin for at least one clock period between consecutive locked accesses. locked operation to cached lines when a locked operation to a cached line occurs, the processor invalidates the line and determines whether the line is modi- fied. if the line is modified, it is written back to memory. lock is not asserted during the writeback operation. lock is then asserted and the locked read-modify-write operations are per- formed. the line is not cached during these operations. scyc is asserted for misaligned locked transfers. bus hold hold, illustrated in figure 9 on page 44, is used to inform the processor that another bus device desires to be bus master. if hold is asserted, the processor completes all pending bus cycles and acknowledges release of the bus by asserting hlda. when the bus is released, the processor floats the fol- lowing outputs: these are the same outputs that are floated when boff is asserted. these outputs provide status information, but do not participate in the external memory system access. n a31 Ca3 n ads n ap n be7 Cbe3 n cache n d/c n d63Cd0 n dp7Cdp0 n lock n m/io n pcd n pwt n scyc n w/r
44 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information figure 9. hold/hlda cycle hlda is negated one clock after hold is negated. hold is not recognized during locked cycles, but is recognized during boff . an external master must monitor boff as well as hlda to determine bus ownership. bus error support using pchk and apchk pchk and apchk are used for checking data parity and address parity. data parity is driven into the processor on pins dp7Cdp0 during reads, and is driven out of the same pins dur- ing writes. the processor indicates a data parity error by asserting pchk two clocks after the validation of parity by brdy . the ap signal provides even parity for the address bus. the processor indicates an inquire parity error by asserting apchk two clock cycles after the address is validated by eads . special bus cycles several bus cycles are supported by the AMD-K5 processor, as illustrated in table 6 on page 27. the byte enables are encoded to define the type of cycle. figure 39 on page 79 is a timing diagram of a generic special bus cycle. flush operations the flush input is used by external logic to cause the proces- sor to write back any modified lines in the data cache, and to invalidate all entries in both the data cache and the instruction cache. a special cycle is executed by the processor to indicate completion of the flush operation. the flush input is treated as a high-priority asynchronous interrupt, and is acknowledged only on instruction boundaries. clk ads brdy hlda hold data add/ control
45 18522f/0jan1997 AMD-K5 processor data sheet preliminary information interrupt acknowledge an interrupt acknowledge cycle, shown in figure 10 on page 45, is a special cycle generated to acknowledge receipt of an interrupt at the intr input. the processor generates an inter- rupt acknowledge cycle in a locked pair of transactions. the first transaction acknowledges the interrupt to the external system. the second transaction provides the interrupt vector to the processor. an idle cycle is generated between the trans- actions. an interrupt acknowledge cycle is completed upon assertion of brdy . (see figure 40.) figure 10. interrupt acknowledge cycles inquire cycles an inquire cycle is employed to allow the system to determine whether a particular line is cached and modified. after obtain- ing ownership of the address bus using boff , ahold, or hold, the system drives the physical address of the line on a31Ca5, and marks the address valid with eads . if the processor detects a hit in its instruction or data cache, the processor asserts the hit signal two clock cycles after the assertion of eads (see figure 11 on page 46). if the line is modified (see figure 12 on page 46), the processor asserts the hitm signal two clocks after the assertion of eads , and writes back the modified line. eads is ignored during the writeback of the modified line. initiation of the writeback of the modified line will occur no earlier than two clock cycles after hitm is asserted. clk ads brdy w/r lock data address
46 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information figure 11. inquire cycle (hit to a non-modified line) figure 12. inquire cycle (hit to a modified line) the hit signal retains its state between inquire cycles. the hitm signal remains asserted until the writeback of the modi- fied line completes. following completion of the writeback operation, the processor negates hitm . clk ads control eads address hit brdy ahold hitm clk ads data eads address hit brdy ahold hitm
47 18522f/0jan1997 AMD-K5 processor data sheet preliminary information pipelining the following pipeline cycles are supported by AMD-K5 pro- cessors model 1 and model 2 with stepping level of 4 and above: n cacheable instruction cache cycle into a cacheable instruc- tion cache cycle n cacheable instruction cache cycle into a cacheable data cache cycle n cacheable instruction cache cycle into a non-cacheable data cache cycle (could be i/o) n cacheable instruction cache cycle into a non-cacheable instruction cache cycle n non-cacheable instruction cache cycle into a cacheable data cache cycle n non-cacheable instruction cache cycle into a non-cacheable data cache cycle n cacheable data cache cycle into a cacheable instruction cache cycle n cacheable data cache cycle into a non-cacheable instruc- tion cache cycle n non-cacheable data cache cycle into a cacheable instruction cache cycle n non-cacheable data cache cycle into a non-cacheable instruction cache cycle n write cycle (could be i/o) into a write cycle (could be i/o) n write cycle (could be i/o) into a cacheable instruction cache cycle n write cycle (could be i/o) into a non-cacheable instruction cache cycle n write cycle (could be i/o) into a cacheable data cache cycle n write cycle (could be i/o) into a non-cacheable data cache cycle
48 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information pipelining is not supported for the following cycles: n non-cacheable instruction cache cycle into a non-cacheable instruction cache cycle n non-cacheable instruction cache cycle into a write cycle (could be i/o) n cacheable instruction cache cycle into a write cycle (could be i/o) n non-cacheable data cache cycle into a write cycle (could be i/o) n cacheable data cache cycle into a write cycle (could be i/o) n cacheable data cache cycle into a cacheable data cache cycle n cacheable data cache cycle into a non-cacheable data cache cycle n non-cacheable data cache cycle into a non-cacheable data cache cycle n non-cacheable data cache cycle into a cacheable data cache cycle
49 18522f/0jan1997 AMD-K5 processor data sheet preliminary information pipelining timing diagrams the timing diagrams in figure 13 and figure 14 illustrate pipe- lining. figure 13. pipelined cacheable data cache cycle into a cacheable instruction cache cycle clk a31-a3 ads be7 -be0 brdy cache d/c d63-d0 ken m/io na pwt w/r wb/wt read read
50 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information figure 14. pipelined write cycle (could be i/o) into a write cycle (could be i/o) clk a31-a3 ads be7 -be0 brdy cache d/c d63-d0 ken m/io na pwt w/r wb/wt write write
51 18522f/0jan1997 AMD-K5 processor data sheet preliminary information 8.7 system management mode system management mode (smm) is a distinct processor modeinitiated by smi that allows the system designer to add software-controlled features that operate transparently to the operating system and application programs, such as power management. i/o restart and halt auto-restart are also pro- vided for transparent power management of i/o peripherals. the system designer may use the smiact signal to provide protection to the smi handler code and cpu state information. processing system management interrupts when the processor receives an smi , normal operation will be interrupted in the following manner: 1. smiact is asserted, informing the system that it must enable the smram. 2. once smram is available, the processor saves its state beginning at 3ffffh. the save area map is provided in table 12 (given that the default smibase is 30000h). 3. once the normal execution state is saved in smram, the processor enters smm. 4. the processor will jump to smram address 38000h to exe- cute the smi handler, which will perform any required sys- tem management. 5. when the resume (rsm) instruction is received, the smi handler restores the processor normal execution state from sram, negate the smiact signal, and resume execution. system management interrupt smi is triggered on a clock falling edge. it is non-maskable and may be asserted asynchronously, but it will be recognized in the first cycle meeting set-up and hold times. to assure asyn- chronous recognition, smi should be asserted for at least two clocks and negated for at least two clocks. smi interrupts occur on instruction boundaries. smi is not affected by the if bit in the eflags register. the smi signal will be masked internally when the smi is recognized until the rsm instruction is executed. smi has a higher priority than nmi. it is not masked during an nmi. (see figure 41 on page 80.)
52 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information table 12. smm save area map address contents address contents fffch cr0 ff74h ldt attribute fff8h cr3 ff70h ldt base fff4h eflags ff6ch ldt limit fff0h eip ff68h gs attributes ffech edi ff64h gs base ffe8h esi ff60h gs limit ffe4h ebp ff5ch fs attributes ffe0h esp ff58h fs base ffdch ebx ff54h fs limit ffd8h edx ff50h ds attributes ffd4h ecx ff4ch ds base ffd0h eax ff48h ds limit ffcch dr6 ff44h ss attributes ffc8h dr7 ff40h ss base ffc4h tr ff3ch ss limit ffc0h ldtr ff38h cs attributes ffbch gs ff34h cs base ffb8h fs ff30h cs limit ffb4h ds ff2ch es attributes ffb0h ss ff28h es base ffach cs ff24h es limit ffa8h es ff20h reserved ffa4h i/o trap word ff1ch ffa0h reserved ff18h ff9ch i/o trap eip ff14h cr2 ff98h reserved ff10h cr4 ff94h ff0ch i/o restart esi ff90h idt base ff08h i/o restart ecx ff8ch idt limit ff04h i/o restart edi ff88h gdt base ff02h halt restart ff84h gdt limit ff00h i/o trap restart ff80h tr attribute fefch smm rev id ff7ch tr base fef8h smm base address ff78h tr limit fe00hCfef4h reserved
53 18522f/0jan1997 AMD-K5 processor data sheet preliminary information initial state upon entering smm table 13 shows the initial state of the processor upon entering smm. the default smbase value may be changed following reset to store the smi handler code and cpu state information in a dif- ferent region of memory. if the smbase value is changed, the next entry to the smi handler routine will occur relative to the new smbase value. i/o instruction restart the smi handler may allow the rsm instruction to restart the interrupted i/o instruction by using the i/o instruction restart word. if the value contained by the i/o instruction restart word is 0ffh, the processor re-executes the i/o instruction trapped by smi . the i/o instruction is not re-executed if the i/o restart word contains the value 000h. the value 000h is written in the i/o restart word when entering smm. processor operation is table 13. initial state upon entering smm register initial contents selector base attributes limit cs 3000h 0003_0000h 16-bit, expand-up 4 gbytes ds 0000h 0000_0000h 16-bit, expand-up 4 gbytes es 0000h 0000_0000h 16-bit, expand-up 4 gbytes fs 0000h 0000_0000h 16-bit, expand-up 4 gbytes gs 0000h 0000_0000h 16-bit, expand-up 4 gbytes ss 0000h 0000_0000h 16-bit, expand-up 4 gbytes general-purpose unmodified eflags 0000_0002h eip 0000_8000h cr0 bits 0, 2, 3, 31 cleared (pe, em, ts, pg). others are unmodified. cr4 0000_0000h gdtr unmodified ldtr unmodified idtr unmodified tr unmodified dr7 0000_0400h dr6 undefined
54 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information unpredictable if the i/o instruction restart word is written when the processor has not generated an smi on an i/o instruc- tion boundary. the smi handler for the second request must not set the i/o instruction restart word if the system executes back-to-back smi requests. halt auto restart on entry to the smi routine, the halt auto restart word (ff02h) has the value 0001h if the processor was halted when the smi occurred. otherwise, it has a value of 0000h. if the value is 0001h, the smi routine may cause a return to the halt instruction by returning without modifying the halt auto restart word. it may cause a return to the instruction after the halt instruction by clearing the halt auto restart word. 8.8 am486 ? and AMD-K5 processor bus differences the AMD-K5 processor: n data bus is 64 bits, versus the am486 processor's 32 bits n has eight byte-enables and eight data parity pins n does not support non-cacheable burst cycles n supports flush as an edge-triggered input n supports a writeback cache protocol using mesi (the new cache , hit , hitm , wb/wt , and inv pins are defined to support this protocol.) n maintains the state of the internal caches and fpu, while performing the reset function with the init pin n supports smm with the input signal smi and the output sig- nal smiact n does not allow invalidations every clock or while driving the address bus n supports parity checking on addresses and data n does not support dynamic bus sizing. this eliminates the need for the am486 processor signals bs8 and bs16 n includes the scyc signal to indicate a split cycle during locked operations. a split cycle crosses a cache line bound- ary during an atomic operation due to a misaligned refer- ence
55 18522f/0jan1997 AMD-K5 processor data sheet preliminary information n adds the ewbe input to indicate an empty external write buffer (this supports strong store ordering between the processor and the external memory system. all writes to exclusive/modified lines are held until ewbe is asserted to indicate that no writes are pending in the external memory system.) n on read-modify-write cycles, guarantees an idle cycle between consecutive locked accesses n implements non-cacheable code prefetches as eight bytes instead of 16 bytes (each is treated as a single 8-byte access when non-cacheable.) n supports jtag pins tck, tdi, tdo, tms, and trst n supports external breakpoints with the pins bp3Cbp0 n requires some writebacks and line fills to be run as burst cycles (with no blast pin, burst writebacks cannot be ter- minated in the middle of the burst.) n drives burst length information with the cache pin (this pin always indicates a fixed burst length of four 64-bit accesses. the corresponding pin is blast on the am486 processorwhere the burst is typically four 32-bit transfersbut can be longer with narrower width memo- ries.) n supports simple master/slave modes through the pins frcmc and ierr n aborts a cycle if boff is asserted in the middle of the cycle (when boff is negated, the cycle restarts from the begin- ning. the am486 processor restarts the cycle at the point it was aborted.) 8.9 p54c and AMD-K5 processor bus differences the AMD-K5 processor has two possible drive strengths, weak and strong. these strengths are equivalent to weak and strong on the pentium processor. the recommended, default drive strength on the AMD-K5 processor is weak. for detailed difference information, refer to appendix a of the AMD-K5 processor technical reference manual , order# 18524, or the AMD-K5 processor application note, compari- son of the AMD-K5, pentium, and 486 processors, order# 20025.
56 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 9 electrical data 9.1 power and grounding power connections the AMD-K5 processor includes 53 v cc and 53 v ss pins for clean, on-chip power distribution at high frequencies. power and ground connections must be made to all external v cc and gnd pins, respectively. all v cc pins must be connected to the circuit board v cc plane, and all v ss pins must be connected to the circuit board gnd plane. table 14 on page 58 provides the dc characteristics of the processor. connection recommendations n emphasize decoupling capacitance near the AMD-K5 processor n driving address and data buses into large capacitive loads at high frequencies can cause transient power surges n low inductance capacitors and circuit paths provide the best performance at high frequencies n inductance can be reduced by shortening circuit board paths as much as possible n capacitors specifically for pga packaging are commer- cially available n nc pins shall be unconnected n always connect unused inputs to an appropriate signal level n unused active low inputs should be connected to v cc through a pull-up resistor n pull-up resistors of 20 k w should be used n unused active high inputs should be connected to gnd
57 18522f/0jan1997 AMD-K5 processor data sheet preliminary information 9.2 absolute maximum ratings case temperature under bias............................ C65 c to +110 c storage temperature .......................................... C65 c to +150 c voltage on any pin (not to exceed 4.6 v)... C0.5 v to v cc +0.5 v clk input (5-v tolerant) ...................................... C0.5 v to 6.5 v supply voltage .................................................... C0.5 v to +3.8 v note: stresses above those listed under absolute maximum rat- ings may cause permanent device failure. functionality at or above these limits is not implied. exposure to absolute maximum ratings for extended periods may affect device reliability. all voltage levels are with respect to ground. 9.3 operating ranges see ordering information on page 5 for the standard prod- ucts that are available for the AMD-K5 processor. commercial (c) devices t case .......................................................................... 0 c to +70 c v cc ............................................................................. 3.525 v 2% (refer to opn) note: operating ranges define those limits between which the functionality of the device is guaranteed.
58 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information table 14. dc characteristics over commercial operating ranges symbol parameter description advance info comments min max v il input low voltage C0.3 v +0.8 v v ih input high voltage 2.0 v v cc +0.3 v v ol output low voltage 0.4 v i ol = 4-ma load v oh output high voltage 2.4 v i oh =1-ma load i cc power supply currentmodel 0 44.0 ma/mhz v cc = 3.6 v note 1 power supply currentmodels 1 and 2 39.0 ma/mhz v cc = 3.6 v note 6 i li input leakage current 15 m a note 2 i lo output leakage current 15 m a note 2 i il input leakage current bias with pull-up (low) 400 m a note 3 i ih input leakage current bias with pull-up (high) 200 m a note 4 c in input capacitance 15 pf note 5 c out output capacitance 20 pf note 5 c out i/o capacitance 25 pf note 5 c clk clk capacitance 15 pf note 5 c tin test input capacitance 15 pf note 5 c tout test output capacitance 20 pf note 5 c tck tck capacitance 15 pf note 5 notes: 1. typical supply current for model 0: 36 ma/mhz (2700 ma at pr75, 3240 ma at pr90, and 3600 ma at pr100). 2. this parameter is for inputs or i/o without an internal pull-up resistor and 0 v in v cc . 3. this parameter is for inputs with pull-ups and v il = 0.40 v. 4. this parameter is for inputs with pull-downs and v ih = 2.4 v. 5. this parameter is determined by design. 6. typical supply current for models 1 and 2: 30 ma/mhz (2700 ma at pr120, 3000 ma at pr133, and 3500 ma at pr166).
59 18522f/0jan1997 AMD-K5 processor data sheet preliminary information 10 switching characteristics the AMD-K5 processor commercial switching characteristics, provided in table 15 through table 26 on page 67, are mea- sured at the voltage levels indicated by figure 16 on page 68. they are measured relative to the rising edge of the clk sig- nal, as defined by figure 16 through figure 23. output delays are specified as a function of minimum and maximum limits, with minimum delay times provided to external circuitry as hold times. a synchronous input signal must be stable for cor- rect AMD-K5 processor operation during sampling. 10.1 66-mhz bus operation table 15. clk switching characteristics for 66-mhz bus operation symbol parameter description advance info figure comments min max frequency 33.3 mhz 66.6 mhz t 1 clk period 15 ns 30.0 ns 16 t 1a clk period stability 250 ps note 1 t 2 clk high time 4.0 ns 16 @ 2.0 v, note 1 t 3 clk low time 4.0 ns 16 @ 0.8 v, note 1 t 4 clk fall time 0.15 ns 1.5 ns 16 2.0C0.8 v, note 1 t 5 clk rise time 0.15 ns 1.5 ns 16 0.8C2.0 v, note 1 notes: 1. not 100% tested; determined by design characterization.
60 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information table 16. delay timing for 66-mhz bus operation symbol parameter description advance info figure comments min max t 6a adsc , pwt, pcd, cache , scyc valid delay 1.0 ns 7.0 ns 17 t 6b ap valid delay 1.0 ns 8.5 ns 17 t 6c a31Ca17 valid delay 0.6 ns 6.3 ns 17 t 6d a16Ca3 valid delay 0.5 ns 6.3 ns 15 t 6e ads valid delay 1.0 ns 6.0 ns 17 t 6f be7 Cbe0 valid delay 0.9 ns 7.0 ns 15 t 6g lock valid delay 0.9 ns 7.0 ns 15 t 6h m/io valid delay 0.8 ns 5.9 ns 15 t 6i d/c, w/r valid delay 0.8 ns 7.0 ns 15 t 7 ads , adsc , ap, a31Ca3, be7 Cbe0 , cache , d/c , lock , m/io , pwt, pcd, scyc, w/r float delay 10.0 ns 19 t 8a apchk , ierr , ferr valid delay 1.0 ns 8.3 ns 17 t 8b pchk valid delay 1.0 ns 7.0 ns 17 t 9a breq, hlda valid delay 1.0 ns 8.0 ns 17 t 9b smiact valid delay 1.0 ns 7.3 ns 17 t 10a hit valid delay 1.0 ns 6.8 ns 17 t 10b hitm valid delay 0.7 ns 6.0 ns 17 t 11 prdy valid delay 1.0 ns 8.0 ns 17 t 12 d63Cd0, dp7Cdp0 write data valid delay 1.3 ns 7.5 ns 17 t 13 d63Cd0, dp7Cdp0 write data float delay 10.0 ns 19
61 18522f/0jan1997 AMD-K5 processor data sheet preliminary information table 17. switching characteristics for 66-mhz bus operation symbol parameter description advance info figure comments min max t 14 a31Ca5 setup time 6.0 ns 18 t 15 a31Ca5 hold time 1.0 ns 18 t 16a inv, ap setup time 5.0 ns 18 t 16b eads setup time 5.0 ns 18 t 17 eads , inv, ap hold time 1.0 ns 18 t 18a ken setup time 5.0 ns 18 t 18b wb/wt , na setup time 4.5 ns 18 t 19 ken , wb/wt , na hold time 1.0 ns 18 t 20 brdy , brdyc setup time 5.0 ns 18 t 21 brdy , brdyc hold time 1.0 ns 18 t 22 ahold, boff setup time 5.5 ns 18 t 23 ahold, boff hold time 1.0 ns 18 t 24 buschk , ewbe , hold setup time 5.0 ns 18 t 24a pen setup time 4.8 ns 16 t 25a buschk , ewbe , pen hold time 1.0 ns 18 t 25b hold hold time 1.5 ns 18 t 26 a20m , intr, stpclk setup time 5.0 ns 18 t 27 a20m , intr, stpclk hold time 1.0 ns 18 t 28 init, flush , nmi, smi , ignne setup time 5.0 ns 18 t 29 init, flush , nmi, smi , ignne hold time 1.0 ns 18 t 30 init, flush , nmi, smi , ignne pulse width 2 clocks 18 asynchronous t 31 r/s setup time 5.0 ns 18 t 32 r/s hold time 1.0 ns 18 t 33 r/s pulse width 2 clocks 18 asynchronous t 34 d63Cd0, dp7Cdp0 read data setup time 2.8 ns 18 t 35 d63Cd0, dp7Cdp0 read data hold time 1.5 ns 18
62 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 10.2 60-mhz bus operation table 18. clk switching characteristics for 60-mhz bus operation symbol parameter description advance info figure comments min max frequency 30 mhz 60 mhz t 1 clk period 16.67 ns 33.33 ns 16 t 1a clk period stability 250 ps note 1 t 2 clk high time 4.0 ns 16 @ 2.0 v, note 1 t 3 clk low time 4.0 ns 16 @ 0.8 v, note 1 t 4 clk fall time 0.15 ns 1.5 ns 16 2.0C0.8 v, note 1 t 5 clk rise time 0.15 ns 1.5 ns 16 0.8C2.0 v, note 1 notes: 1. not 100% tested; determined by design characterization. table 19. delay timing for 60-mhz bus operation symbol parameter description advance info figure comments min max t 6a adsc , be7 Cbe0 , d/c , pwt, pcd, w/r , cache , scyc valid delay 1.0 ns 7.0 ns 17 t 6b ap valid delay 1.0 ns 8.5 ns 17 t 6c a31Ca3, lock valid delay 1.1 ns 7.0 ns 17 t 6d ads , m/io valid delay 1.0 ns 7.0 ns 17 t 7 ads , adsc , ap, a31-a3, be7 Cbe0 , cache , d/c , lock , m/io , pwt, pcd, scyc, w/r float delay 10.0 ns 19 t 8a apchk , ierr , ferr valid delay 1.0 ns 8.3 ns 17 t 8b pchk valid delay 1.0 ns 7.0 ns 17 t 9a breq, hlda valid delay 1.0 ns 8.0 ns 17 t 9b smiact valid delay 1.0 ns 7.6 ns 17 t 10a hit valid delay 1.0 ns 8.0 ns 17 t 10b hitm valid delay 1.1 ns 6.0 ns 17 t 11 prdy valid delay 1.0 ns 8.0 ns 17 t 12 d63Cd0, dp7Cdp0 write data valid delay 1.3 ns 7.5 ns 17 t 13 d63Cd0, dp7Cdp0 write data float delay 10.0 ns 19
63 18522f/0jan1997 AMD-K5 processor data sheet preliminary information table 20. switching characteristics for 60-mhz bus operation symbol parameter description advance info figure comments min max t 14 a31Ca5 setup time 6.0 ns 18 t 15 a31Ca5 hold time 1.0 ns 18 t 16a inv, ap setup time 5.0 ns 18 t 16b eads setup time 5.5 ns 18 t 17 eads , inv, ap hold time 1.0 ns 18 t 18a ken setup time 5.0 ns 18 t 18b wb/wt , na setup time 4.5 ns 18 t 19 ken , wb/wt , na hold time 1.0 ns 18 t 20 brdy , brdyc setup time 5.0 ns 18 t 21 brdy , brdyc hold time 1.0 ns 18 t 22 ahold, boff setup time 5.5 ns 18 t 23 ahold, boff hold time 1.0 ns 18 t 24 buschk , ewbe , hold, pen setup time 5.0 ns 18 t 25a buschk , ewbe , pen hold time 1.0 ns 18 t 25b hold hold time 1.5 ns 18 t 26 a20m , intr, stpclk setup time 5.0 ns 18 t 27 a20m , intr, stpclk hold time 1.0 ns 18 t 28 init, flush , nmi, smi , ignne setup time 5.0 ns 18 t 29 init, flush , nmi, smi , ignne hold time 1.0 ns 18 t 30 init, flush , nmi, smi , ignne pulse width 2 clocks 18 asynchronous t 31 r/s setup time 5.0 ns 18 t 32 r/s hold time 1.0 ns 18 t 33 r/s pulse width 2 clocks 18 asynchronous t 34 d63Cd0, dp7Cdp0 read data setup time 3.0 ns 18 t 35 d63Cd0, dp7Cdp0 read data hold time 2.0 ns 18
64 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 10.3 50-mhz bus operation table 21. clk switching characteristics for 50-mhz bus operation symbol parameter description advance info figure comments min max frequency 25 mhz 50 mhz t 1 clk period 20.0 ns 40.0 ns 16 t 1a clk period stability 250 ps note 1 t 2 clk high time 4.0 ns 16 @ 2.0 v, note 1 t 3 clk low time 4.0 ns 16 @ 0.8 v, note 1 t 4 clk fall time 0.15 ns 1.5 ns 16 2.0C0.8 v, note 1 t 5 clk rise time 0.15 ns 1.5 ns 16 0.8C2.0 v, note 1 notes: 1. not 100% tested; determined by design characterization. table 22. delay timing for 50-mhz bus operation symbol parameter description advance info figure comments min max t 6a adsc , be7 Cbe0 , d/c , pwt, pcd, w/r , cache , scyc valid delay 1.0 ns 7.0 ns 17 t 6b ap valid delay 1.0 ns 8.5 ns 17 t 6c a31Ca3, lock valid delay 1.1 ns 7.0 ns 17 t 6d ads , m/io valid delay 1.0 ns 7.0 ns 17 t 7 ads , adsc , ap, a31Ca3, be7 Cbe0 , cache , d/c , lock , m/io , pcd, pwt, scyc, w/r float delay 10.0 ns 19 t 8a apchk , ierr , ferr valid delay 1.0 ns 8.3 ns 17 t 8b pchk valid delay 1.0 ns 8.3 ns 17 t 9a breq, hlda valid delay 1.0 ns 8.0 ns 17 t 9b smiact valid delay 1.0 ns 8.0 ns 17 t 10a hit valid delay 1.0 ns 8.0 ns 17 t 10b hitm valid delay 1.1 ns 6.0 ns 17 t 11 prdy valid delay 1.0 ns 8.0 ns 17 t 12 d63Cd0, dp7Cdp0 write data valid delay 1.3 ns 8.5 ns 17 t 13 d63Cd0, dp7Cdp0 write data float delay 10.0 ns 19
65 18522f/0jan1997 AMD-K5 processor data sheet preliminary information table 23. switching characteristics for 50-mhz bus operation symbol parameter description advance info figure comments min max t 14 a31Ca5 setup time 6.5 ns 18 t 15 a31Ca5 hold time 1.0 ns 18 t 16a inv, ap setup time 5.0 ns 18 t 16b eads setup time 6.0 ns 18 t 17 eads , inv, ap hold time 1.0 ns 18 t 18a ken setup time 5.0 ns 18 t 18b wb/wt , na setup time 4.5 ns 18 t 19 ken , wb/wt , na hold time 1.0 ns 18 t 20 brdy , brdyc setup time 5.0 ns 18 t 21 brdy , brdyc hold time 1.0 ns 18 t 22a boff setup time 5.5 ns 18 t 22b ahold setup time 6.0 ns 18 t 23 ahold, boff hold time 1.0 ns 18 t 24 buschk , ewbe , hold, pen setup time 5.0 ns 18 t 25a buschk , ewbe , pen hold time 1.0 ns 18 t 25b hold hold time 1.5 ns 18 t 26 a20m , intr, stpclk setup time 5.0 ns 18 t 27 a20m , intr, stpclk hold time 1.0 ns 18 t 28 init, flush , nmi, smi , ignne setup time 5.0 ns 18 t 29 init, flush , nmi, smi , ignne hold time 1.0 ns 18 t 30 init, flush , nmi, smi , ignne pulse width 2 clocks 18 asynchronous t 31 r/s setup time 5.0 ns 18 t 32 r/s hold time 1.0 ns 18 t 33 r/s pulse width 2 clocks 18 asynchronous t 34 d63Cd0, dp7Cdp0 read data setup time 3.8 ns 18 t 35 d63Cd0, dp7Cdp0 read data hold time 2.0 ns 18
66 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 10.4 reset, tck, trst , and test signal timing table 24. reset configuration signal symbol parameter description advance info figure comments min max t 36 reset setup time 5.0 ns 20 t 37 reset hold time 1.0 ns 20 t 38 reset pulse width, v cc and clk stable 15 clocks 20 t 39 reset active after v cc and clk stable 1.0 ms 20 t 40 init, flush , frcmc setup time 5.0 ns 20 t 41 init, flush , frcmc hold time 1.0 ns 20 t 42a init, flush , frcmc setup time 2 clocks 20 asynchronous, note 1 t 42b init, flush , frcmc , brdyc , buschk hold time 2 clocks 20 asynchronous, note 1 t 42c brdyc , buschk setup time 3 clocks 20 note 1 t 42d brdyc hold time, reset driven synchronously 1.0 ns 20 note 1 t 43a bf, bf0, bf1 setup time 1.0 ms 20 note 1 t 43b bf, bf0, bf1 hold time 2 clocks 20 note 1 notes: 1. these are measured to reset falling edge. table 25. tck waveform and trst timing at 16 mhz symbol parameter description advance info figure comments min max t 44 tck frequency 16 mhz 1x clock t 45 tck period 62.5 ns 21 note 1 t 46 tck high time 25.0 ns 21 at 2.0 v, note 3 t 47 tck low time 25.0 ns 21 at 0.8 v, note 3 t 48 tck fall time 5.0 ns 21 notes 2, 3 t 49 tck rise time 5.0 ns 21 notes 2, 3 t 50 trst pulse width 40.0 ns 22 asynchronous notes: 1. tck period is 3 clk period. 2. rise/fall times are measured between 0.8 v and 2.0 v. rise/fall times can be relaxed by 1 ns per 10-ns increase in tck period. 3. not 100% tested; determined by design characterization.
67 18522f/0jan1997 AMD-K5 processor data sheet preliminary information table 26. test signal timing at 16 mhz symbol parameter description advance info figure notes min max t 51 tdi, tms setup time 5.0 ns 23 note 2 t 52 tdi, tms hold time 13.0 ns 23 note 2 t 53 tdo valid delay 3.0 ns 20.0 ns 23 note 1 t 54 tdo float delay 25.0 ns 23 note 1 t 55 all outputs (non-test) valid delay 3.0 ns 20.0 ns 23 note 1 t 56 all outputs (non-test) float delay 25.0 ns 23 note 1 t 57 all inputs (non-test) setup time 5.0 ns 23 note 2 t 58 all inputs (non-test) hold time 13.0 ns 23 note 2 notes: 1. parameter is measured from the tck falling edge. 2. parameter is measured from the tck rising edge. tck period is 3 clk period.
68 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information figure 15. diagrams key figure 16. clk waveform must be steady may change from high to low may change from low to high (does not apply) dont care, any change permitted will be steady will be changing from high to low will be changing from low to high changing, state unknown center line is in a high impedance off state waveform inputs outputs t 5 2.0 v 1.5 v 0.8 v t 2 t 3 t 4 t 1
69 18522f/0jan1997 AMD-K5 processor data sheet preliminary information figure 17. output valid delay timing figure 18. input setup and hold timing figure 19. maximum float delay timing min max valid n +1 t v valid n clk output signal t x t x 1.5 v v = 6a, 6b, 6c, 6d, 6e, 6f, 6g, 6h, 6i, 8a, 8b, 9a, 9b, 10a, 10b, 11, 12 clk t x t x t x t x input signal t s t h 1.5 v s = 14, 16a, 16b, 18a, 18b, 20, 22, 22a, 22b, 24, 24a, 26, 28, 31, 34 h = 15, 17, 19, 21, 23, 25a, 25b, 27, 29, 32, 35 t w w = 30, 33 t x t x t x valid t x t v min output signal t f clk 1.5 v v = 6a, 6b, 6c, 6d, 6e, 6f, 6g, 6h, 6i, 12 f = 7, 13
70 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information figure 20. reset and configuration timing t x clk reset t x t 36 init, flush , frcmc 1.5 v 1.5 v 1.5 v ? ? ? t 38 , t 39 t 37 t 40 t 41 ? ? ? brdyc , buschk t 43b bf, bf0, bf1 t 42c ? ? ? t 42b t 43a ? ? ? t 42d brdyc (reset driven synchronously) init, flush , frcmc t 42a t 42b ? ? ? ? ? ?
71 18522f/0jan1997 AMD-K5 processor data sheet preliminary information figure 21. tck waveform figure 22. trst timing figure 23. test signal timing diagram t 49 2.0 v 1.5 v 0.8 v t 46 t 47 t 48 t 45 1.5 v t 50 tck tdi, tms tdo output signals input signals t 45 t 51 t 52 t 53 t 55 t 56 t 57 t 58 t 54
72 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 11 timing diagrams figure 24. stpclk timing (stop grant state) figure 25. transition l1 shared line to exclusive clock fbh 0000_0010h stop grant state address data ads brdy m/io w/r d/c stpclk be7 Cbe0 clock clock address data ads brdy cache w/r wb/wt clock
73 18522f/0jan1997 AMD-K5 processor data sheet preliminary information figure 26. invalidation to non-modified l1 cache line figure 27. invalidation to modified line in l1 cache (writeback cycle) clock address data ads brdy inv eads ahold hit hitm clock clock address data ads brdy cache w/r hold hlda eads inv hitm hit clock
74 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information figure 28. single read due to cache inactive (no wait state) figure 29. single read due to ken not asserted (one wait state) clock address data ads brdy cache w/r clock clock address data ads brdy cache w/r ken clock
75 18522f/0jan1997 AMD-K5 processor data sheet preliminary information figure 30. single write due to ken inactive (no wait state) figure 31. single write due to cache inactive (one wait state) clock address data ads brdy ken w/r pchk clock clock address data ads brdy cache w/r pchk clock
76 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information figure 32. burst read (no wait state) figure 33. burst read (one wait state) clock address data ads brdy cache w/r ken pchk clock clock address data ads brdy cache w/r ken pchk clock
77 18522f/0jan1997 AMD-K5 processor data sheet preliminary information figure 34. burst write (one wait state) figure 35. boff timing clock address data ads brdy cache w/r pchk clock blank out blnk end clock address data ads w/r m/io d/c boff clock ? ? ?
78 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information figure 36. locked cycle figure 37. hold/hlda timing clock address data ads brdy cache w/r lock control clock blank out blank end clock address data ads brdy control hold hlda clock ? ? ?
79 18522f/0jan1997 AMD-K5 processor data sheet preliminary information figure 38. ahold restrictions figure 39. special cycle ahold may not change during ads ahold may not change during brdy clock address data ads brdy hitm eads ahold clock cycle type clock address data ads brdy cache w/r m/io d/c be7 Cbe0 clock
80 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information figure 40. interrupt acknowledge figure 41. smi /smiact timing start blank end blank clock address data ads brdy cache w/r m/io d/c intr lock clock blank out blank end normal state smm state smm state normal state clock address data ads brdy smi smiact clock ? ? ? ? ? ?
81 18522f/0jan1997 AMD-K5 processor data sheet preliminary information figure 42. split cycle (misaligned locked cycle) clock address data ads brdy cache w/r scyc lock control clock
82 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 12 package thermal specifications the AMD-K5 processor is specified for operation when t case (the case temperature) is within the range of 0c to 70c. t case can be measured in any environment to determine whether the AMD-K5 processor is within the specified operating range. the case temperature should be measured at the center of the top surface opposite the pins. the ambient temperature ( t a ) is guaranteed as long as t case is not violated. the ambient temperature can be calculated from q ca and from the following equation: t case = t a + (p ? q ca ) where: t a , t case = ambient and case temperature q ca = case-to-ambient thermal resistance p = maximum power consumption the value for q ca is given in table 27 for the 1.90 sq. in., 296- pin, ceramic spga case. maximum t a is shown in table 28 and table 29. the values for processor frequency in table 28 apply to the AMD-K5 processor model 0. the values for processor fre- quency in table 29 apply to the AMD-K5 processor models 1 and 2. table 27. q ca for the AMD-K5 processor in 296-pin spga package for typical heat sinks with fans heat sink with fan (length x width x height) q ca (c/w) manufacturer - part number 1.885 in x 1.9 in x 1.04 in 0.81 thermalloy, inc. - 20961-tcm 1.95 in x 1.79 in x 1.06 in 1.3 wakefield engineering, inc. - 709-100ab124 1.96 in x 1.96 in x 0.65 in 1.5 aavid - 355455f00267 notes: 1. thermal interface material (e.g., thermal grease or thermal compound) is required between the top of the processor case and the base of the heat sink.
83 18522f/0jan1997 AMD-K5 processor data sheet preliminary information table 28. model 0 maximum t a in c heat sink airflow of 0 (0) ft/min. (m/sec) pr75 1 pr90 2 pr100 3 thermalloy heat sink w/fan 60.6 48.7 47.5 wakefield heat sink w/fan 54.9 41.9 39.9 aavid heat sink w/fan 52.6 39.1 36.8 notes: 1. t case = 70c, v cc = 3.52 v, i cc = 3300 ma 2. t case = 60c, v cc = 3.52 v, i cc = 3960 ma 3. t case = 60c, v cc = 3.52 v, i cc = 4400 ma table 29. models 1 and 2 maximum t a in c heat sink airflow of 0 (0) ft/min. (m/sec) pr120 1 pr133 2 pr166 3 thermalloy heat sink w/fan 60.0 48.9 52.0 wakefield heat sink w/fan 53.9 42.2 44.2 aavid heat sink w/fan 51.5 39.4 41.0 notes: 1. t case = 70c, v cc = 3.52 v, i cc = 3510 ma 2. t case = 60c, v cc = 3.52 v, i cc = 3900 ma 3. t case = 65c, v cc = 3.52 v, i cc = 4550 ma
84 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 13 physical dimensions figure 43. 296-pin ceramic staggered pin grid array (spga) thermal slug: in. sq. 1.240 1.260 1.940 1.965 0.060 0.100 0.017 0.020 index corner seating plane 0.120 0.130 pin x lid side view of spga e = 0.100 e1 = 0.050 0.110 0.140 e = 0.100 e1 = 0.050 0.060/0.090 (45 chamfer) lid outline cavity down pga ? b1 = 0.065 max 1.940 1.965 1.790 1.810 1.790 1.810 0.017 0.020 seating plane 0.120 0.130 pin x lid side view of spga with thermal slug e = 0.100 e1 = 0.050 0.110 0.140 0.035 0.045
85 18522f/0jan1997 AMD-K5 processor data sheet preliminary information 14 pin description diagram (model 0) figure 44. AMD-K5 model 0 processor pin-side view data pins v cc pins address pins test pins nc, inc (internal no connect) pins reserved pins control pins v ss pins t bottom view
86 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 15 pin designations (model 0) functional grouping address data control test nc v cc v ss reserved pin name pin no. pin name pin no. pin name pin no. pin name pin no. pin no. pin no. pin no. pin no. a3 a4 a5 a6 a7 a8 a9 a10 a11 a12 a13 a14 a15 a16 a17 a18 a19 a20 a21 a22 a23 a24 a25 a26 a27 a28 a29 a30 a31 al-35 am-34 ak-32 an-33 al-33 am-32 ak-30 an-31 al-31 al-29 ak-28 al-27 ak-26 al-25 ak-24 al-23 ak-22 al-21 af-34 ah-36 ae-33 ag-35 aj-35 ah-34 ag-33 ak-36 ak-34 am-36 aj-33 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 d32 d33 d34 d35 d36 d37 d38 d39 d40 d41 d42 d43 d44 d45 d46 d47 d48 d49 d50 d51 d52 d53 d54 d55 d56 d57 d58 d59 d60 d61 d62 d63 k-34 g-35 j-35 g-33 f-36 f-34 e-35 e-33 d-34 c-37 c-35 b-36 d-32 b-34 c-33 a-35 b-32 c-31 a-33 d-28 b-30 c-29 a-31 d-26 c-27 c-23 d-24 c-21 d-22 c-19 d-20 c-17 c-15 d-16 c-13 d-14 c-11 d-12 c-09 d-10 d-08 a-05 e-09 b-04 d-06 c-05 e-07 c-03 d-04 e-05 d-02 f-04 e-03 g-05 e-01 g-03 h-04 j-03 j-05 k-04 l-05 l-03 m-04 n-03 a20m ads adsc ahold ap apchk be0 be1 be2 be3 be4 be5 be6 be7 bf boff brdy brdyc breq buschk cache clk d/c dp0 dp1 dp2 dp3 dp4 dp5 dp6 dp7 eads ewbe ferr flush frcmc hit hitm hlda hold ierr ignne init intr inv ken lock m/io na nmi pcd pchk pen prdy pwt reset r/s scyc smi smiact stpclk w/r wb/wt ak-08 aj-05 am-02 v-04 ak-02 ae-05 al-09 ak-10 al-11 ak-12 al-13 ak-14 al-15 ak-16 y-33 z-04 x-04 y-03 aj-01 al-07 u-03 ak-18 ak-04 d-36 d-30 c-25 d-18 c-07 f-06 f-02 n-05 am-04 w-03 q-05 an-07 y-35 ak-06 al-05 aj-03 ab-04 p-04 aa-35 aa-33 ad-34 u-05 w-05 ah-04 t-04 y-05 ac-33 ag-05 af-04 z-34 ac-05 al-03 ak-20 ac-35 al-17 ab-34 ag-03 v-34 am-06 aa-05 tck tdi tdo tms trst m-34 n-35 n-33 p-34 q-33 a-37 r-34 s-33 s-35 w-33 w-35 x-34 al-19 an-01 an-35 inc a-03 b-02 c-01 al-01 an-03 an-05 a-07 a-09 a-11 a-13 a-15 a-17 a-19 a-21 a-23 a-25 a-27 a-29 e-37 g-01 g-37 j-01 j-37 l-01 l-33 l-37 n-01 n-37 q-01 q-37 s-01 s-37 t-34 u-01 u-33 u-37 w-01 w-37 y-01 y-37 aa-01 aa-37 ac-01 ac-37 ae-01 ae-37 ag-01 ag-37 an-09 an-11 an-13 an-15 an-17 an-19 an-21 an-23 an-25 an-27 an-29 b-06 b-08 b-10 b-12 b-14 b-16 b-18 b-20 b-22 b-24 b-26 b-28 h-02 h-36 k-02 k-36 m-02 m-36 p-02 p-36 r-02 r-36 t-02 t-36 u-35 v-02 v-36 x-02 x-36 z-02 z-36 ab-02 ab-36 ad-02 ad-36 af-02 af-36 ah-02 aj-37 al-37 am-08 am-10 am-12 am-14 am-16 am-18 am-20 am-22 am-24 am-26 am-28 am-30 an-37 h-34 j-33 l-35 q-03 q-35 r-04 s-03 s-05 aa-03 ac-03 ad-04 ae-03 ae-35
87 18522f/0jan1997 AMD-K5 processor data sheet preliminary information 16 pin description diagram (models 1 and 2) figure 45. AMD-K5 models 1 and 2 processor pin-side view data pins v cc pins address pins test pins nc, inc (internal no connect) pins reserved pins control pins v ss pins t bottom view
88 AMD-K5 processor data sheet 18522f/0jan1997 preliminary information 17 pin designations (models 1 and 2) functional grouping address data control test nc v cc v ss reserved pin name pin no. pin name pin no. pin name pin no. pin name pin no. pin no. pin no. pin no. pin no. a3 a4 a5 a6 a7 a8 a9 a10 a11 a12 a13 a14 a15 a16 a17 a18 a19 a20 a21 a22 a23 a24 a25 a26 a27 a28 a29 a30 a31 al-35 am-34 ak-32 an-33 al-33 am-32 ak-30 an-31 al-31 al-29 ak-28 al-27 ak-26 al-25 ak-24 al-23 ak-22 al-21 af-34 ah-36 ae-33 ag-35 aj-35 ah-34 ag-33 ak-36 ak-34 am-36 aj-33 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 d32 d33 d34 d35 d36 d37 d38 d39 d40 d41 d42 d43 d44 d45 d46 d47 d48 d49 d50 d51 d52 d53 d54 d55 d56 d57 d58 d59 d60 d61 d62 d63 k-34 g-35 j-35 g-33 f-36 f-34 e-35 e-33 d-34 c-37 c-35 b-36 d-32 b-34 c-33 a-35 b-32 c-31 a-33 d-28 b-30 c-29 a-31 d-26 c-27 c-23 d-24 c-21 d-22 c-19 d-20 c-17 c-15 d-16 c-13 d-14 c-11 d-12 c-09 d-10 d-08 a-05 e-09 b-04 d-06 c-05 e-07 c-03 d-04 e-05 d-02 f-04 e-03 g-05 e-01 g-03 h-04 j-03 j-05 k-04 l-05 l-03 m-04 n-03 a20m ads adsc ahold ap apchk be0 be1 be2 be3 be4 be5 be6 be7 bf0 bf1 boff brdy brdyc breq buschk cache clk d/c dp0 dp1 dp2 dp3 dp4 dp5 dp6 dp7 eads ewbe ferr flush frcmc hit hitm hlda hold ierr ignne init intr inv ken lock m/io na nmi pcd pchk pen prdy pwt reset r/s scyc smi smiact stpclk w/r wb/wt ak-08 aj-05 am-02 v-04 ak-02 ae-05 al-09 ak-10 al-11 ak-12 al-13 ak-14 al-15 ak-16 y-33 x-34 z-04 x-04 y-03 aj-01 al-07 u-03 ak-18 ak-04 d-36 d-30 c-25 d-18 c-07 f-06 f-02 n-05 am-04 w-03 q-05 an-07 y-35 ak-06 al-05 aj-03 ab-04 p-04 aa-35 aa-33 ad-34 u-05 w-05 ah-04 t-04 y-05 ac-33 ag-05 af-04 z-34 ac-05 al-03 ak-20 ac-35 al-17 ab-34 ag-03 v-34 am-06 aa-05 tck tdi tdo tms trst m-34 n-35 n-33 p-34 q-33 a-37 r-34 s-33 s-35 w-33 w-35 al-19 an-01 an-35 inc a-03 b-02 c-01 al-01 an-03 an-05 a-07 a-09 a-11 a-13 a-15 a-17 a-19 a-21 a-23 a-25 a-27 a-29 e-37 g-01 g-37 j-01 j-37 l-01 l-33 l-37 n-01 n-37 q-01 q-37 s-01 s-37 t-34 u-01 u-33 u-37 w-01 w-37 y-01 y-37 aa-01 aa-37 ac-01 ac-37 ae-01 ae-37 ag-01 ag-37 an-09 an-11 an-13 an-15 an-17 an-19 an-21 an-23 an-25 an-27 an-29 b-06 b-08 b-10 b-12 b-14 b-16 b-18 b-20 b-22 b-24 b-26 b-28 h-02 h-36 k-02 k-36 m-02 m-36 p-02 p-36 r-02 r-36 t-02 t-36 u-35 v-02 v-36 x-02 x-36 z-02 z-36 ab-02 ab-36 ad-02 ad-36 af-02 af-36 ah-02 aj-37 al-37 am-08 am-10 am-12 am-14 am-16 am-18 am-20 am-22 am-24 am-26 am-28 am-30 an-37 h-34 j-33 l-35 q-03 q-35 r-04 s-03 s-05 aa-03 ac-03 ad-04 ae-03 ae-35
89 18522f/0jan1997 AMD-K5 processor data sheet preliminary information
for more information or to order literature, write to or call: toll free: (800)222-9323 (512)602-5651 advanced micro devices, inc. 5204 east ben white blvd. mail stop 604 austin, texas 78741 http://www.amd.com


▲Up To Search▲   

 
Price & Availability of AMD-K5

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X